IPC Classification

Class code (prefix) Descriptions Number of results
H01L 21/00 Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
H01L 21/02 Manufacture or treatment of semiconductor devices or of parts thereof
H01L 21/04 Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer, carrier concentration layer
H01L 21/06 Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer, carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
H01L 21/08 Preparation of the foundation plate
H01L 21/10 Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination
H01L 21/12 Application of an electrode to the exposed surface of the selenium or tellurium after the selenium or tellurium has been applied to the foundation plate
H01L 21/14 Treatment of the complete device, e.g. by electroforming to form a barrier
H01L 21/16 Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer, carrier concentration layer the devices having semiconductor bodies comprising cuprous oxide or cuprous iodide
H01L 21/18 Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer, carrier concentration layer the devices having semiconductor bodies comprising elements of group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
H01L 21/20 Deposition of semiconductor materials on a substrate, e.g. epitaxial growth
H01L 21/22 Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions; Redistribution of impurity materials, e.g. without introduction or removal of further dopant
H01L 21/24 Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
H01L 21/26 Bombardment with wave or particle radiation
H01L 21/027 Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or
H01L 21/28 Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
H01L 21/30 Treatment of semiconductor bodies using processes or apparatus not provided for in groups
H01L 21/31 Treatment of semiconductor bodies using processes or apparatus not provided for in groups to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers; Selection of materials for these layers
H01L 21/32 Treatment of semiconductor bodies using processes or apparatus not provided for in groups to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers; Selection of materials for these layers using masks
H01L 21/33 Multistep processes for the manufacture of devices of the bipolar type, e.g. diodes, transistors, thyristors the devices comprising three or more electrodes
H01L 21/34 Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer, carrier concentration layer the devices having semiconductor bodies not provided for in groups , , and with or without impurities, e.g. doping materials
H01L 21/36 Deposition of semiconductor materials on a substrate, e.g. epitaxial growth
H01L 21/38 Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions
H01L 21/40 Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
H01L 21/42 Bombardment with radiation
H01L 21/44 Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
H01L 21/46 Treatment of semiconductor bodies using processes or apparatus not provided for in groups
H01L 21/47 Organic layers, e.g. photoresist
H01L 21/48 Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
H01L 21/50 Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the groups
H01L 21/52 Mounting semiconductor bodies in containers
H01L 21/54 Providing fillings in containers, e.g. gas fillings
H01L 21/56 Encapsulations, e.g. encapsulating layers, coatings
H01L 21/58 Mounting semiconductor devices on supports
H01L 21/60 Attaching leads or other conductive members, to be used for carrying current to or from the device in operation
H01L 21/62 Manufacture or treatment of semiconductor devices or of parts thereof the devices having no potential-jump barriers or surface barriers
H01L 21/64 Manufacture or treatment of solid state devices other than semiconductor devices, or of parts thereof, not specially adapted for a single type of device provided for in groups or in subclasses ,
H01L 21/66 Testing or measuring during manufacture or treatment
H01L 21/67 Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/68 Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment
H01L 21/70 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in or on a common substrate or of specific parts thereof; Manufacture of integrated circuit devices or of specific parts thereof
H01L 21/71 Manufacture of specific parts of devices defined in group
H01L 21/74 Making of buried regions of high impurity concentration, e.g. buried collector layers, internal connections
H01L 21/76 Making of isolation regions between components
H01L 21/77 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
H01L 21/78 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
H01L 21/82 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
H01L 21/84 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
H01L 21/86 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body the insulating body being sapphire, e.g. silicon on sapphire structure, i.e. SOS
H01L 21/98 Assembly of devices consisting of solid state components formed in or on a common substrate; Assembly of integrated circuit devices
H01L 21/103 Conversion of the selenium or tellurium to the conductive state
H01L 21/105 Treatment of the surface of the selenium or tellurium layer after having been made conductive
H01L 21/108 Provision of discrete insulating layers, i.e. non-genetic barrier layers
H01L 21/145 Ageing
H01L 21/203 Deposition of semiconductor materials on a substrate, e.g. epitaxial growth using physical deposition, e.g. vacuum deposition, sputtering
H01L 21/205 Deposition of semiconductor materials on a substrate, e.g. epitaxial growth using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
H01L 21/208 Deposition of semiconductor materials on a substrate, e.g. epitaxial growth using liquid deposition
H01L 21/223 Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions; Redistribution of impurity materials, e.g. without introduction or removal of further dopant using diffusion into, or out of, a solid from or into a gaseous phase
H01L 21/225 Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions; Redistribution of impurity materials, e.g. without introduction or removal of further dopant using diffusion into, or out of, a solid from or into a solid phase, e.g. a doped oxide layer
H01L 21/228 Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions; Redistribution of impurity materials, e.g. without introduction or removal of further dopant using diffusion into, or out of, a solid from or into a liquid phase, e.g. alloy diffusion processes
H01L 21/261 Bombardment with wave or particle radiation to produce a nuclear reaction transmuting chemical elements
H01L 21/263 Bombardment with wave or particle radiation with high-energy radiation
H01L 21/265 Bombardment with wave or particle radiation with high-energy radiation producing ion implantation
H01L 21/266 Bombardment with wave or particle radiation with high-energy radiation producing ion implantation using masks
H01L 21/268 Bombardment with wave or particle radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
H01L 21/283 Deposition of conductive or insulating materials for electrodes
H01L 21/285 Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
H01L 21/288 Deposition of conductive or insulating materials for electrodes from a liquid, e.g. electrolytic deposition
H01L 21/301 Treatment of semiconductor bodies using processes or apparatus not provided for in groups to subdivide a semiconductor body into separate parts, e.g. making partitions
H01L 21/302 Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting
H01L 21/304 Mechanical treatment, e.g. grinding, polishing, cutting
H01L 21/306 Chemical or electrical treatment, e.g. electrolytic etching
H01L 21/308 Chemical or electrical treatment, e.g. electrolytic etching using masks
H01L 21/311 Etching the insulating layers
H01L 21/312 Organic layers, e.g. photoresist
H01L 21/314 Inorganic layers
H01L 21/316 Inorganic layers composed of oxides or glassy oxides or oxide-based glass
H01L 21/318 Inorganic layers composed of nitrides
H01L 21/321 After-treatment
H01L 21/322 Treatment of semiconductor bodies using processes or apparatus not provided for in groups to modify their internal properties, e.g. to produce internal imperfections
H01L 21/324 Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
H01L 21/326 Application of electric currents or fields, e.g. for electroforming
H01L 21/328 Multistep processes for the manufacture of devices of the bipolar type, e.g. diodes, transistors, thyristors
H01L 21/329 Multistep processes for the manufacture of devices of the bipolar type, e.g. diodes, transistors, thyristors the devices comprising one or two electrodes, e.g. diodes
H01L 21/331 Transistors
H01L 21/332 Thyristors
H01L 21/334 Multistep processes for the manufacture of devices of the unipolar type
H01L 21/335 Field-effect transistors
H01L 21/336 Field-effect transistors with an insulated gate
H01L 21/337 Field-effect transistors with a PN junction gate
H01L 21/338 Field-effect transistors with a Schottky gate
H01L 21/339 Charge transfer devices
H01L 21/363 Deposition of semiconductor materials on a substrate, e.g. epitaxial growth using physical deposition, e.g. vacuum deposition, sputtering
H01L 21/365 Deposition of semiconductor materials on a substrate, e.g. epitaxial growth using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
H01L 21/368 Deposition of semiconductor materials on a substrate, e.g. epitaxial growth using liquid deposition
H01L 21/383 Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions using diffusion into, or out of, a solid from or into a gaseous phase
H01L 21/385 Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions using diffusion into, or out of, a solid from or into a solid phase, e.g. a doped oxide layer
H01L 21/388 Diffusion of impurity materials, e.g. doping materials, electrode materials, into, or out of, a semiconductor body, or between semiconductor regions using diffusion into, or out of, a solid from or into a liquid phase, e.g. alloy diffusion processes
H01L 21/423 Bombardment with radiation with high-energy radiation
H01L 21/425 Bombardment with radiation with high-energy radiation producing ion implantation
H01L 21/426 Bombardment with radiation with high-energy radiation producing ion implantation using masks
H01L 21/428 Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
H01L 21/441 Deposition of conductive or insulating materials for electrodes
H01L 21/443 Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
H01L 21/445 Deposition of conductive or insulating materials for electrodes from a liquid, e.g. electrolytic deposition
H01L 21/447 Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups involving the application of pressure, e.g. thermo-compression bonding
H01L 21/449 Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups involving the application of mechanical vibrations, e.g. ultrasonic vibrations
H01L 21/461 Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
H01L 21/463 Mechanical treatment, e.g. grinding, ultrasonic treatment
H01L 21/465 Chemical or electrical treatment, e.g. electrolytic etching
H01L 21/467 Chemical or electrical treatment, e.g. electrolytic etching using masks
H01L 21/469 Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
H01L 21/471 Inorganic layers
H01L 21/473 Inorganic layers composed of oxides or glassy oxides or oxide-based glass
H01L 21/475 Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers using masks
H01L 21/477 Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
H01L 21/479 Application of electric currents or fields, e.g. for electroforming
H01L 21/603 Attaching leads or other conductive members, to be used for carrying current to or from the device in operation involving the application of pressure, e.g. thermo-compression bonding
H01L 21/607 Attaching leads or other conductive members, to be used for carrying current to or from the device in operation involving the application of mechanical vibrations, e.g. ultrasonic vibrations
H01L 21/673 Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components using specially adapted carriers
H01L 21/677 Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for conveying, e.g. between different work stations
H01L 21/683 Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
H01L 21/687 Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
H01L 21/761 PN junctions
H01L 21/762 Dielectric regions
H01L 21/763 Polycrystalline semiconductor regions
H01L 21/764 Air gaps
H01L 21/765 Making of isolation regions between components by field-effect
H01L 21/768 Applying interconnections to be used for carrying current between separate components within a device
H01L 21/782 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element
H01L 21/784 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element the substrate being a semiconductor body
H01L 21/786 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, each consisting of a single circuit element the substrate being other than a semiconductor body, e.g. insulating body
H01L 21/822 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
H01L 21/3063 Electrolytic etching
H01L 21/3065 Plasma etching; Reactive-ion etching
H01L 21/3105 After-treatment
H01L 21/3115 Doping the insulating layers
H01L 21/3205 Deposition of non-insulating-, e.g. conductive- or resistive-, layers, on insulating layers; After-treatment of these layers
H01L 21/3213 Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
H01L 21/3215 Doping the layers
H01L 21/4757 After-treatment
H01L 21/4763 Deposition of non-insulating-, e.g. conductive-, resistive-, layers on insulating layers; After-treatment of these layers
H01L 21/8222 Bipolar technology
H01L 21/8224 Bipolar technology comprising a combination of vertical and lateral transistors
H01L 21/8226 Bipolar technology comprising merged transistor logic or integrated injection logic
H01L 21/8228 Complementary devices, e.g. complementary transistors
H01L 21/8229 Memory structures
H01L 21/8232 Field-effect technology
H01L 21/8234 MIS technology
H01L 21/8236 Combination of enhancement and depletion transistors
H01L 21/8238 Complementary field-effect transistors, e.g. CMOS
H01L 21/8239 Memory structures
H01L 21/8242 Dynamic random access memory structures (DRAM)
H01L 21/8244 Static random access memory structures (SRAM)
H01L 21/8246 Read-only memory structures (ROM)
H01L 21/8247 Read-only memory structures (ROM) electrically-programmable (EPROM)
H01L 21/8248 Combination of bipolar and field-effect technology
H01L 21/8249 Bipolar and MOS technology
H01L 21/8252 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
H01L 21/8254 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using II-VI technology
H01L 21/8256 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using technologies not covered by one of groups , or
H01L 21/8258 Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by , , or
H01L 23/00 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
H01L 23/02 Containers; Seals
H01L 23/04 Containers; Seals characterised by the shape
H01L 23/06 Containers; Seals characterised by the material of the container or its electrical properties
H01L 23/08 Containers; Seals characterised by the material of the container or its electrical properties the material being an electrical insulator, e.g. glass
H01L 23/10 Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
H01L 23/12 Mountings, e.g. non-detachable insulating substrates
H01L 23/13 Mountings, e.g. non-detachable insulating substrates characterised by the shape
H01L 23/14 Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
H01L 23/15 Ceramic or glass substrates
H01L 23/16 Fillings or auxiliary members in containers, e.g. centering rings
H01L 23/18 Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device
H01L 23/20 Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device gaseous at the normal operating temperature of the device
H01L 23/22 Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device liquid at the normal operating temperature of the device
H01L 23/24 Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device solid or gel, at the normal operating temperature of the device
H01L 23/26 Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device including materials for absorbing or reacting with moisture or other undesired substances
H01L 23/28 Encapsulation, e.g. encapsulating layers, coatings
H01L 23/29 Encapsulation, e.g. encapsulating layers, coatings characterised by the material
H01L 23/31 Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
H01L 23/32 Holders for supporting the complete device in operation, i.e. detachable fixtures
H01L 23/34 Arrangements for cooling, heating, ventilating or temperature compensation
H01L 23/36 Selection of materials, or shaping, to facilitate cooling or heating, e.g. heat sinks
H01L 23/38 Cooling arrangements using the Peltier effect
H01L 23/40 Mountings or securing means for detachable cooling or heating arrangements
H01L 23/42 Fillings or auxiliary members in containers selected or arranged to facilitate heating or cooling
H01L 23/043 Containers; Seals characterised by the shape the container being a hollow construction and having a conductive base as a mounting as well as a lead for the semiconductor body
H01L 23/44 Arrangements for cooling, heating, ventilating or temperature compensation the complete device being wholly immersed in a fluid other than air
H01L 23/045 Containers; Seals characterised by the shape the container being a hollow construction and having a conductive base as a mounting as well as a lead for the semiconductor body the other leads having an insulating passage through the base
H01L 23/46 Arrangements for cooling, heating, ventilating or temperature compensation involving the transfer of heat by flowing fluids
H01L 23/047 Containers; Seals characterised by the shape the container being a hollow construction and having a conductive base as a mounting as well as a lead for the semiconductor body the other leads being parallel to the base
H01L 23/48 Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
H01L 23/049 Containers; Seals characterised by the shape the container being a hollow construction and having a conductive base as a mounting as well as a lead for the semiconductor body the other leads being perpendicular to the base
H01L 23/50 Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements for integrated circuit devices
H01L 23/051 Containers; Seals characterised by the shape the container being a hollow construction and having a conductive base as a mounting as well as a lead for the semiconductor body another lead being formed by a cover plate parallel to the base plate, e.g. sandwich type
H01L 23/52 Arrangements for conducting electric current within the device in operation from one component to another
H01L 23/053 Containers; Seals characterised by the shape the container being a hollow construction and having an insulating base as a mounting for the semiconductor body
H01L 23/055 Containers; Seals characterised by the shape the container being a hollow construction and having an insulating base as a mounting for the semiconductor body the leads having a passage through the base
H01L 23/057 Containers; Seals characterised by the shape the container being a hollow construction and having an insulating base as a mounting for the semiconductor body the leads being parallel to the base
H01L 23/58 Structural electrical arrangements for semiconductor devices not otherwise provided for
H01L 23/60 Protection against electrostatic charges or discharges, e.g. Faraday shields
H01L 23/62 Protection against overcurrent or overload, e.g. fuses, shunts
H01L 23/64 Impedance arrangements
H01L 23/66 High-frequency adaptations
H01L 23/367 Cooling facilitated by shape of device
H01L 23/373 Cooling facilitated by selection of materials for the device
H01L 23/427 Cooling by change of state, e.g. use of heat pipes
H01L 23/433 Auxiliary members characterised by their shape, e.g. pistons
H01L 23/467 Arrangements for cooling, heating, ventilating or temperature compensation involving the transfer of heat by flowing fluids by flowing gases, e.g. air
H01L 23/473 Arrangements for cooling, heating, ventilating or temperature compensation involving the transfer of heat by flowing fluids by flowing liquids
H01L 23/482 Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements consisting of lead-in layers inseparably applied to the semiconductor body
H01L 23/485 Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
H01L 23/488 Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements consisting of soldered or bonded constructions
H01L 23/492 Bases or plates
H01L 23/495 Lead-frames
H01L 23/498 Leads on insulating substrates
H01L 23/522 Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
H01L 23/525 Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
H01L 23/528 Layout of the interconnection structure
H01L 23/532 Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
H01L 23/535 Arrangements for conducting electric current within the device in operation from one component to another including internal interconnections, e.g. cross-under constructions
H01L 23/538 Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
H01L 23/544 Marks applied to semiconductor devices, e.g. registration marks, test patterns
H01L 23/552 Protection against radiation, e.g. light
H01L 23/556 Protection against radiation, e.g. light against alpha rays
H01L 25/00 Assemblies consisting of a plurality of individual semiconductor or other solid state devices
H01L 25/03 Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes
H01L 25/04 Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers
H01L 25/07 Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
H01L 25/10 Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices having separate containers
H01L 25/11 Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group
H01L 25/13 Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group
H01L 25/16 Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different main groups of groups , or in a single subclass of , , e.g. forming hybrid circuits
H01L 25/18 Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different subgroups of the same main group of groups , or in a single subclass of ,
H01L 25/065 Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
H01L 25/075 Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
H01L 27/00 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
H01L 27/01 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate comprising only passive thin-film or thick-film elements formed on a common insulating substrate
H01L 27/02 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
H01L 27/04 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
H01L 27/06 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
H01L 27/07 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
H01L 27/08 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
H01L 27/10 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
H01L 27/11 Static random access memory structures
H01L 27/12 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
H01L 27/13 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body combined with thin-film or thick-film passive components
H01L 27/14 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy
H01L 27/15 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components with at least one potential-jump barrier or surface barrier, specially adapted for light emission
H01L 27/16 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including thermomagnetic components
H01L 27/18 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including components exhibiting superconductivity
H01L 27/20 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including magnetostrictive components
H01L 27/22 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate using similar magnetic field effects
H01L 27/24 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including solid state components for rectifying, amplifying, or switching without a potential-jump barrier or surface barrier
H01L 27/26 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including bulk negative resistance effect components
H01L 27/28 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including components using organic materials as the active part, or using a combination of organic materials with other materials as the active part
H01L 27/30 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including components using organic materials as the active part, or using a combination of organic materials with other materials as the active part with components specially adapted for either the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
H01L 27/32 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including components using organic materials as the active part, or using a combination of organic materials with other materials as the active part with components specially adapted for light emission, e.g. flat-panel displays using organic light-emitting diodes
H01L 27/082 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including bipolar components only
H01L 27/085 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
H01L 27/088 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
H01L 27/092 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
H01L 27/095 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being Schottky barrier gate field-effect transistors
H01L 27/098 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being PN junction gate field-effect transistors
H01L 27/102 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
H01L 27/105 Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
H01L 27/108 Dynamic random access memory structures
H01L 27/112 Read-only memory structures
H01L 27/115 Electrically programmable read-only memories; Multistep manufacturing processes therefor
H01L 27/118 Masterslice integrated circuits
H01L 27/142 Energy conversion devices
H01L 27/144 Devices controlled by radiation
H01L 27/146 Imager structures
H01L 27/148 Charge coupled imagers
H01L 27/1156 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate the floating gate being an electrode shared by two or more components
H01L 27/1157 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by the memory core region with cell select transistors, e.g. NAND
H01L 27/1158 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
H01L 27/1159 Electrically programmable read-only memories; Multistep manufacturing processes therefor with the gate electrodes comprising a layer used for its ferroelectric memory properties, e.g. metal-ferroelectric-semiconductor [MFS] or metal-ferroelectric-metal-insulator-semiconductor [MFMIS] characterised by the memory core region
H01L 27/11502 Electrically programmable read-only memories; Multistep manufacturing processes therefor with ferroelectric memory capacitors
H01L 27/11504 Electrically programmable read-only memories; Multistep manufacturing processes therefor with ferroelectric memory capacitors characterised by the top-view layout
H01L 27/11507 Electrically programmable read-only memories; Multistep manufacturing processes therefor with ferroelectric memory capacitors characterised by the memory core region
H01L 27/11509 Electrically programmable read-only memories; Multistep manufacturing processes therefor with ferroelectric memory capacitors characterised by the peripheral circuit region
H01L 27/11512 Electrically programmable read-only memories; Multistep manufacturing processes therefor with ferroelectric memory capacitors characterised by the boundary region between the core and peripheral circuit regions
H01L 27/11514 Electrically programmable read-only memories; Multistep manufacturing processes therefor with ferroelectric memory capacitors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
H01L 27/11517 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate
H01L 27/11519 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the top-view layout
H01L 27/11521 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the memory core region
H01L 27/11524 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the memory core region with cell select transistors, e.g. NAND
H01L 27/11526 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the peripheral circuit region
H01L 27/11529 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the peripheral circuit region of memory regions comprising cell select transistors, e.g. NAND
H01L 27/11531 Simultaneous manufacturing of periphery and memory cells
H01L 27/11534 Simultaneous manufacturing of periphery and memory cells including only one type of peripheral transistor
H01L 27/11536 Simultaneous manufacturing of periphery and memory cells including only one type of peripheral transistor with a control gate layer also being used as part of the peripheral transistor
H01L 27/11539 Simultaneous manufacturing of periphery and memory cells including only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor
H01L 27/11541 Simultaneous manufacturing of periphery and memory cells including only one type of peripheral transistor with a floating-gate layer also being used as part of the peripheral transistor
H01L 27/11543 Simultaneous manufacturing of periphery and memory cells including only one type of peripheral transistor with a tunnel dielectric layer also being used as part of the peripheral transistor
H01L 27/11546 Simultaneous manufacturing of periphery and memory cells including different types of peripheral transistor
H01L 27/11548 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the boundary region between the core and peripheral circuit regions
H01L 27/11551 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by three-dimensional arrangements, e.g. with cells on different height levels
H01L 27/11553 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
H01L 27/11556 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
H01L 27/11558 Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate the control gate being a doped region, e.g. single-poly memory cells
H01L 27/11563 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM
H01L 27/11565 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by the top-view layout
H01L 27/11568 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by the memory core region
H01L 27/11573 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by the peripheral circuit region
H01L 27/11575 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by the boundary region between the core and peripheral circuit regions
H01L 27/11578 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by three-dimensional arrangements, e.g. with cells on different height levels
H01L 27/11582 Electrically programmable read-only memories; Multistep manufacturing processes therefor with charge-trapping gate insulators, e.g. MNOS or NROM characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
H01L 27/11585 Electrically programmable read-only memories; Multistep manufacturing processes therefor with the gate electrodes comprising a layer used for its ferroelectric memory properties, e.g. metal-ferroelectric-semiconductor [MFS] or metal-ferroelectric-metal-insulator-semiconductor [MFMIS]
H01L 27/11587 Electrically programmable read-only memories; Multistep manufacturing processes therefor with the gate electrodes comprising a layer used for its ferroelectric memory properties, e.g. metal-ferroelectric-semiconductor [MFS] or metal-ferroelectric-metal-insulator-semiconductor [MFMIS] characterised by the top-view layout
H01L 27/11592 Electrically programmable read-only memories; Multistep manufacturing processes therefor with the gate electrodes comprising a layer used for its ferroelectric memory properties, e.g. metal-ferroelectric-semiconductor [MFS] or metal-ferroelectric-metal-insulator-semiconductor [MFMIS] characterised by the peripheral circuit region
H01L 27/11595 Electrically programmable read-only memories; Multistep manufacturing processes therefor with the gate electrodes comprising a layer used for its ferroelectric memory properties, e.g. metal-ferroelectric-semiconductor [MFS] or metal-ferroelectric-metal-insulator-semiconductor [MFMIS] characterised by the boundary region between core and peripheral circuit regions
H01L 27/11597 Electrically programmable read-only memories; Multistep manufacturing processes therefor with the gate electrodes comprising a layer used for its ferroelectric memory properties, e.g. metal-ferroelectric-semiconductor [MFS] or metal-ferroelectric-metal-insulator-semiconductor [MFMIS] characterised by three-dimensional arrangements, e.g. cells on different height levels
H01L 29/00 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor bodies or of electrodes thereof
H01L 29/02 Semiconductor bodies
H01L 29/04 Semiconductor bodies characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
H01L 29/06 Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
H01L 29/08 Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
H01L 29/10 Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
H01L 29/12 Semiconductor bodies characterised by the materials of which they are formed
H01L 29/15 Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
H01L 29/16 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System in uncombined form
H01L 29/18 Selenium or tellurium only, apart from doping materials or other impurities
H01L 29/20 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
H01L 29/22 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIBVI compounds
H01L 29/24 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only inorganic semiconductor materials not provided for in groups , ,  or
H01L 29/26 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups , , , ,
H01L 29/30 Semiconductor bodies having polished or roughened surface
H01L 29/32 Semiconductor bodies having polished or roughened surface the imperfections being within the semiconductor body
H01L 29/34 Semiconductor bodies having polished or roughened surface the imperfections being on the surface
H01L 29/36 Semiconductor bodies characterised by the concentration or distribution of impurities
H01L 29/38 Semiconductor bodies characterised by combination of features provided for in two or more of the groups , , , ,
H01L 29/40 Electrodes
H01L 29/41 Electrodes characterised by their shape, relative sizes or dispositions
H01L 29/43 Electrodes characterised by the materials of which they are formed
H01L 29/45 Ohmic electrodes
H01L 29/47 Schottky barrier electrodes
H01L 29/49 Metal-insulator semiconductor electrodes
H01L 29/51 Insulating materials associated therewith
H01L 29/66 Types of semiconductor device
H01L 29/68 Types of semiconductor device controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified, or switched
H01L 29/70 Bipolar devices
H01L 29/72 Transistor-type devices, i.e. able to continuously respond to applied control signals
H01L 29/73 Bipolar junction transistors
H01L 29/74 Thyristor-type devices, e.g. having four-zone regenerative action
H01L 29/76 Unipolar devices
H01L 29/78 Field-effect transistors with field effect produced by an insulated gate
H01L 29/80 Field-effect transistors with field effect produced by a PN or other rectifying junction gate
H01L 29/82 Types of semiconductor device controllable by variation of the magnetic field applied to the device
H01L 29/84 Types of semiconductor device controllable by variation of applied mechanical force, e.g. of pressure
H01L 29/86 Types of semiconductor device controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated, or switched
H01L 29/87 Thyristor diodes, e.g. Shockley diodes, break-over diodes
H01L 29/88 Tunnel-effect diodes
H01L 29/92 Capacitors with potential-jump barrier or surface barrier
H01L 29/93 Variable-capacitance diodes, e.g. varactors
H01L 29/94 Metal-insulator-semiconductors, e.g. MOS
H01L 29/96 Types of semiconductor device of a type covered by more than one of groups , , or
H01L 29/161 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System in uncombined form including two or more of the elements provided for in group
H01L 29/165 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System in uncombined form including two or more of the elements provided for in group in different semiconductor regions
H01L 29/167 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System in uncombined form further characterised by the doping material
H01L 29/201 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds
H01L 29/205 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds in different semiconductor regions
H01L 29/207 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds further characterised by the doping material
H01L 29/221 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIBVI compounds including two or more compounds
H01L 29/225 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIBVI compounds including two or more compounds in different semiconductor regions
H01L 29/227 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIBVI compounds further characterised by the doping material
H01L 29/267 Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups , , , , in different semiconductor regions
H01L 29/417 Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
H01L 29/423 Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
H01L 29/732 Vertical transistors
H01L 29/735 Lateral transistors
H01L 29/737 Hetero-junction transistors
H01L 29/739 Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field effect
H01L 29/744 Gate-turn-off devices
H01L 29/745 Gate-turn-off devices with turn-off by field effect
H01L 29/747 Bidirectional devices, e.g. triacs
H01L 29/749 Thyristor-type devices, e.g. having four-zone regenerative action with turn-on by field effect
H01L 29/762 Charge transfer devices
H01L 29/765 Charge-coupled devices
H01L 29/768 Charge-coupled devices with field effect produced by an insulated gate
H01L 29/772 Field-effect transistors
H01L 29/775 Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET
H01L 29/778 Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
H01L 29/786 Thin-film transistors
H01L 29/788 Field-effect transistors with field effect produced by an insulated gate with floating gate
H01L 29/792 Field-effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistor
H01L 29/808 Field-effect transistors with field effect produced by a PN or other rectifying junction gate with a PN junction gate
H01L 29/812 Field-effect transistors with field effect produced by a PN or other rectifying junction gate with a Schottky gate
H01L 29/861 Diodes
H01L 29/862 Point contact diodes
H01L 29/864 Transit-time diodes, e.g. IMPATT, TRAPATT diodes
H01L 29/866 Zener diodes
H01L 29/868 PIN diodes
H01L 29/872 Schottky diodes
H01L 29/885 Esaki diodes
H01L 29/8605 Resistors with PN junction
H01L 31/00 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof
H01L 31/02 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof - Details
H01L 31/04 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices
H01L 31/05 Electrical interconnection means between PV cells inside the PV module, e.g. series connection of PV cells
H01L 31/06 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
H01L 31/07 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the Schottky type
H01L 31/08 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
H01L 31/09 Devices sensitive to infrared, visible or ultra- violet radiation
H01L 31/10 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
H01L 31/11 Devices sensitive to infrared, visible or ultraviolet radiation characterised by two potential barriers or surface barriers, e.g. bipolar phototransistor
H01L 31/12 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto
H01L 31/14 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto the light source or sources being controlled by the semiconductor device sensitive to radiation, e.g. image converters, image amplifiers or image storage devices
H01L 31/16 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto the semiconductor device sensitive to radiation being controlled by the light source or sources
H01L 31/18 Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
H01L 31/20 Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor material
H01L 31/024 Arrangements for cooling, heating, ventilating or temperature compensation
H01L 31/028 Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic System
H01L 31/032 Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups
H01L 31/036 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
H01L 31/041 Provisions for preventing damage caused by corpuscular radiation, e.g. for space applications
H01L 31/042 PV modules or arrays of single PV cells
H01L 31/043 Mechanically stacked PV cells
H01L 31/044 PV modules or arrays of single PV cells including bypass diodes
H01L 31/045 collapsible or foldable
H01L 31/046 PV modules composed of a plurality of thin film solar cells deposited on the same substrate
H01L 31/047 PV cell arrays including PV cells having multiple vertical junctions or multiple V-groove junctions formed in a semiconductor substrate
H01L 31/048 Encapsulation of modules
H01L 31/049 Protective back sheets
H01L 31/052 Cooling means directly associated or integrated with the PV cell, e.g. integrated Peltier elements for active cooling or heat sinks directly associated with the PV cells
H01L 31/053 Energy storage means directly associated or integrated with the PV cell, e.g. a capacitor integrated with a PV cell
H01L 31/054 Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means
H01L 31/055 Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means where light is absorbed and re-emitted at a different wavelength by the optical element directly associated or integrated with the PV cell, e.g. by using luminescent material, fluorescent concentrators or up-conversion arrangements
H01L 31/056 Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means the light-reflecting means being of the back surface reflector [BSR] type
H01L 31/058 including means to utilise heat energy, e.g. hybrid systems, or a supplementary source of electric energy
H01L 31/061 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being of the point-contact type
H01L 31/062 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the metal-insulator-semiconductor type
H01L 31/065 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the graded gap type
H01L 31/068 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
H01L 31/072 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
H01L 31/073 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising only AIIBVI compound semiconductors, e.g. CdS/CdTe solar cells
H01L 31/074 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising a heterojunction with an element of Group IV of the Periodic System, e.g. ITO/Si, GaAs/Si or CdTe/Si solar cells
H01L 31/075 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells
H01L 31/076 Multiple junction or tandem solar cells
H01L 31/077 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type, e.g. amorphous silicon PIN solar cells the devices comprising monocrystalline or polycrystalline materials
H01L 31/078 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier including different types of potential barriers provided for in two or more of groups
H01L 31/101 Devices sensitive to infrared, visible or ultraviolet radiation
H01L 31/102 Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier
H01L 31/103 Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier being of the PN homojunction type
H01L 31/105 Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier being of the PIN type
H01L 31/107 Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier working in avalanche mode, e.g. avalanche photodiode
H01L 31/108 Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier being of the Schottky type
H01L 31/109 Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier or surface barrier the potential barrier being of the PN heterojunction type
H01L 31/111 Devices sensitive to infrared, visible or ultraviolet radiation characterised by at least three potential barriers, e.g. photothyristor
H01L 31/112 Devices sensitive to infrared, visible or ultraviolet radiation characterised by field-effect operation, e.g. junction field-effect photo- transistor
H01L 31/113 Devices sensitive to infrared, visible or ultraviolet radiation characterised by field-effect operation, e.g. junction field-effect photo- transistor being of the conductor-insulator- semiconductor type, e.g. metal- insulator-semiconductor field-effect transistor
H01L 31/115 Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation
H01L 31/117 Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation of the bulk effect radiation detector type, e.g. Ge-Li compensated PIN gamma-ray detectors
H01L 31/118 Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation of the surface barrier or shallow PN junction detector type, e.g. surface barrier alpha-particle detectors
H01L 31/119 Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation characterised by field-effect operation, e.g. MIS type detectors
H01L 31/147 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto the light source or sources being controlled by the semiconductor device sensitive to radiation, e.g. image converters, image amplifiers or image storage devices the light sources and the devices sensitive to radiation all being semiconductor devices characterised by at least one potential or surface barrier
H01L 31/153 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto the light source or sources being controlled by the semiconductor device sensitive to radiation, e.g. image converters, image amplifiers or image storage devices the light sources and the devices sensitive to radiation all being semiconductor devices characterised by at least one potential or surface barrier formed in, or on, a common substrate
H01L 31/167 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto the semiconductor device sensitive to radiation being controlled by the light source or sources the light sources and the devices sensitive to radiation all being semiconductor devices characterised by at least one potential or surface barrier
H01L 31/173 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto the semiconductor device sensitive to radiation being controlled by the light source or sources the light sources and the devices sensitive to radiation all being semiconductor devices characterised by at least one potential or surface barrier formed in, or on, a common substrate
H01L 31/0203 Containers; Encapsulations
H01L 31/0216 Coatings
H01L 31/0224 Electrodes
H01L 31/0232 Optical elements or arrangements associated with the device
H01L 31/0236 Special surface textures
H01L 31/0248 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by their semiconductor bodies
H01L 31/0256 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by their semiconductor bodies characterised by the material
H01L 31/0264 Inorganic materials
H01L 31/0272 Selenium or tellurium
H01L 31/0288 Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic System characterised by the doping material
H01L 31/0296 Inorganic materials including, apart from doping material or other impurities, only AIIBVI compounds, e.g. CdS, ZnS, HgCdTe
H01L 31/0304 Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
H01L 31/0312 Inorganic materials including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC
H01L 31/0328 Inorganic materials including, apart from doping materials or other impurities, semiconductor materials provided for in two or more of groups
H01L 31/0336 Inorganic materials including, apart from doping materials or other impurities, semiconductor materials provided for in two or more of groups in different semiconductor regions, e.g. Cu2X/CdX hetero-junctions, X being an element of Group VI of the Periodic System
H01L 31/0352 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
H01L 31/0368 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including polycrystalline semiconductors
H01L 31/0376 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including amorphous semiconductors
H01L 31/0384 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including other non-monocrystalline materials, e.g. semiconductor particles embedded in an insulating material
H01L 31/0392 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates
H01L 31/0443 PV modules or arrays of single PV cells including bypass diodes comprising bypass diodes integrated or directly associated with the devices, e.g. bypass diodes integrated or formed in or on the same substrate as the photovoltaic cells
H01L 31/0445 PV modules or arrays of single PV cells including thin film solar cells, e.g. single thin film a-Si, CIS or CdTe solar cells
H01L 31/0463 PV modules composed of a plurality of thin film solar cells deposited on the same substrate characterised by special patterning methods to connect the PV cells in a module, e.g. laser cutting of the conductive or active layers
H01L 31/0465 PV modules composed of a plurality of thin film solar cells deposited on the same substrate comprising particular structures for the electrical interconnection of adjacent PV cells in the module
H01L 31/0468 PV modules composed of a plurality of thin film solar cells deposited on the same substrate comprising specific means for obtaining partial light transmission through the module, e.g. partially transparent thin film solar modules for windows
H01L 31/0475 PV cell arrays made by cells in a planar, e.g. repetitive, configuration on a single semiconductor substrate; PV cell microarrays
H01L 31/0525 Cooling means directly associated or integrated with the PV cell, e.g. integrated Peltier elements for active cooling or heat sinks directly associated with the PV cells including means to utilise heat energy directly associated with the PV cell, e.g. integrated Seebeck elements
H01L 31/0687 Multiple junction or tandem solar cells
H01L 31/0693 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells the devices including, apart from doping material or other impurities, only AIIIBV compounds, e.g. GaAs or InP solar cells
H01L 31/0725 Multiple junction or tandem solar cells
H01L 31/0735 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising only AIIIBV compound semiconductors, e.g. GaAs/AlGaAs or InP/GaInAs solar cells
H01L 31/0745 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells
H01L 31/0747 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells comprising a heterojunction of crystalline and amorphous materials, e.g. heterojunction with intrinsic thin layer or HIT® solar cells
H01L 31/0749 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type including a AIBIIICVI compound, e.g. CdS/CuInSe2 [CIS] heterojunction solar cells
H01L 33/00 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof
H01L 33/02 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies
H01L 33/04 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a quantum effect structure or superlattice, e.g. tunnel junction
H01L 33/06 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a quantum effect structure or superlattice, e.g. tunnel junction within the light emitting region, e.g. quantum confinement structure or tunnel barrier
H01L 33/08 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a plurality of light emitting regions, e.g. laterally discontinuous light emitting layer or photoluminescent region integrated within the semiconductor body
H01L 33/10 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a light reflecting structure, e.g. semiconductor Bragg reflector
H01L 33/12 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a stress relaxation structure, e.g. buffer layer
H01L 33/14 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a carrier transport control structure, e.g. highly-doped semiconductor layer or current-blocking structure
H01L 33/16 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a particular crystal structure or orientation, e.g. polycrystalline, amorphous or porous
H01L 33/18 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a particular crystal structure or orientation, e.g. polycrystalline, amorphous or porous within the light emitting region
H01L 33/20 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a particular shape, e.g. curved or truncated substrate
H01L 33/22 Roughened surfaces, e.g. at the interface between epitaxial layers
H01L 33/24 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor bodies with a particular shape, e.g. curved or truncated substrate of the light emitting region, e.g. non-planar junction
H01L 33/26 Materials of the light emitting region
H01L 33/28 Materials of the light emitting region containing only elements of group II and group VI of the periodic system
H01L 33/30 Materials of the light emitting region containing only elements of group III and group V of the periodic system
H01L 33/32 Materials of the light emitting region containing only elements of group III and group V of the periodic system containing nitrogen
H01L 33/34 Materials of the light emitting region containing only elements of group IV of the periodic system
H01L 33/36 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the electrodes
H01L 33/38 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the electrodes with a particular shape
H01L 33/40 Materials therefor
H01L 33/42 Transparent materials
H01L 33/44 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the coatings, e.g. passivation layer or anti-reflective coating
H01L 33/46 Reflective coating, e.g. dielectric Bragg reflector
H01L 33/48 SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof characterised by the semiconductor body packages
H01L 33/50 Wavelength conversion elements
H01L 33/52 Encapsulations
H01L 33/54 Encapsulations having a particular shape
H01L 33/56 Materials, e.g. epoxy or silicone resin
H01L 33/58 Optical field-shaping elements
H01L 33/60 Reflective elements
H01L 33/62 Arrangements for conducting electric current to or from the semiconductor body, e.g. leadframe, wire-bond or solder balls
H01L 33/64 Heat extraction or cooling elements
H01L 35/00 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof
H01L 35/02 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof - Details
H01L 35/04 Structural details of the junction; Connections of leads
H01L 35/06 Structural details of the junction; Connections of leads detachable, e.g. using a spring
H01L 35/08 Structural details of the junction; Connections of leads non-detachable, e.g. cemented, sintered, soldered
H01L 35/10 Connections of leads
H01L 35/12 Selection of the material for the legs of the junction
H01L 35/14 Selection of the material for the legs of the junction using inorganic compositions
H01L 35/16 Selection of the material for the legs of the junction using inorganic compositions comprising tellurium or selenium or sulfur
H01L 35/18 Selection of the material for the legs of the junction using inorganic compositions comprising arsenic or antimony or bismuth
H01L 35/20 Selection of the material for the legs of the junction using inorganic compositions comprising metals only
H01L 35/22 Selection of the material for the legs of the junction using inorganic compositions comprising compounds containing boron, carbon, oxygen, or nitrogen
H01L 35/24 Selection of the material for the legs of the junction using organic compositions
H01L 35/26 Selection of the material for the legs of the junction using compositions changing continuously or discontinuously inside the material
H01L 35/28 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof operating with Peltier or Seebeck effect only
H01L 35/30 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof operating with Peltier or Seebeck effect only characterised by the heat-exchanging means at the junction
H01L 35/32 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof operating with Peltier or Seebeck effect only characterised by the structure or configuration of the cell or thermocouple forming the device
H01L 35/34 Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
H01L 37/00 Thermoelectric devices without a junction of dissimilar materials; Thermomagnetic devices, e.g. using Nernst-Ettinghausen effect; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
H01L 37/02 Thermoelectric devices without a junction of dissimilar materials; Thermomagnetic devices, e.g. using Nernst-Ettinghausen effect; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof using thermal change of dielectric constant, e.g. working above and below the Curie point
H01L 37/04 Thermoelectric devices without a junction of dissimilar materials; Thermomagnetic devices, e.g. using Nernst-Ettinghausen effect; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof using thermal change of magnetic permeability, e.g. working above and below the Curie point
H01L 39/00 Devices using superconductivity or hyperconductivity; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
H01L 39/02 Devices using superconductivity or hyperconductivity; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details
H01L 39/04 Containers; Mountings
H01L 39/06 Devices using superconductivity or hyperconductivity; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details characterised by the current path
H01L 39/08 Devices using superconductivity or hyperconductivity; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details characterised by the shape of the element
H01L 39/10 Devices using superconductivity or hyperconductivity; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details characterised by the means for switching
H01L 39/12 Devices using superconductivity or hyperconductivity; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details characterised by the material
H01L 39/14 Permanent superconductor devices
H01L 39/16 Devices switchable between superconductive and normal states
H01L 39/18 Cryotrons
H01L 39/20 Power cryotrons
H01L 39/22 Devices comprising a junction of dissimilar materials, e.g. Josephson-effect devices
H01L 39/24 Processes or apparatus specially adapted for the manufacture or treatment of devices provided for in group or of parts thereof
H01L 41/00 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof
H01L 41/02 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof - Details
H01L 41/04 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof - Details of piezo-electric or electrostrictive elements
H01L 41/06 SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof - Details of magnetostrictive elements
H01L 41/08 Piezo-electric or electrostrictive elements
H01L 41/09 Piezo-electric or electrostrictive elements with electrical input and mechanical output
H01L 41/12 Magnetostrictive elements
H01L 41/16 Selection of materials
H01L 41/18 Selection of materials for piezo-electric or electrostrictive elements
H01L 41/20 Selection of materials for magnetostrictive elements
H01L 41/22 Processes or apparatus specially adapted for the assembly, manufacture or treatment of piezo-electric or electrostrictive devices or of parts thereof
H01L 41/23 Forming enclosures or casings
H01L 41/24 of elements of ceramic composition
H01L 41/25 Assembling devices that include piezo-electric or electrostrictive parts
H01L 41/26 of elements of macromolecular composition
H01L 41/27 Manufacturing multilayered piezo-electric or electrostrictive devices or parts thereof, e.g. by stacking piezo-electric bodies and electrodes
H01L 41/29 Forming electrodes, leads or terminal arrangements
H01L 41/31 Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base
H01L 41/33 Shaping or machining of piezo-electric or electrostrictive bodies
H01L 41/35 Forming piezo-electric or electrostrictive materials
H01L 41/37 Composite materials
H01L 41/39 Inorganic materials
H01L 41/41 Inorganic materials by melting
H01L 41/43 Inorganic materials by sintering
H01L 41/45 Organic materials
H01L 41/047 Electrodes
H01L 41/053 Mounts, supports, enclosures or casings
H01L 41/083 Piezo-electric or electrostrictive elements having a stacked or multilayer structure
H01L 41/087 Piezo-electric or electrostrictive elements formed as coaxial cables
H01L 41/107 Piezo-electric or electrostrictive elements with electrical input and electrical output
H01L 41/113 Piezo-electric or electrostrictive elements with mechanical input and electrical output
H01L 41/187 Ceramic compositions
H01L 41/193 Macromolecular compositions
H01L 41/253 Treating devices or parts thereof to modify a piezo-electric or electrostrictive property, e.g. polarisation characteristics, vibration characteristics or mode tuning
H01L 41/257 Treating devices or parts thereof to modify a piezo-electric or electrostrictive property, e.g. polarisation characteristics, vibration characteristics or mode tuning by polarising
H01L 41/273 Manufacturing multilayered piezo-electric or electrostrictive devices or parts thereof, e.g. by stacking piezo-electric bodies and electrodes by integrally sintering piezo-electric or electrostrictive bodies and electrodes
H01L 41/277 Manufacturing multilayered piezo-electric or electrostrictive devices or parts thereof, e.g. by stacking piezo-electric bodies and electrodes by stacking bulk piezo-electric or electrostrictive bodies and electrodes
H01L 41/293 Connection electrodes of multilayered piezo-electric or electrostrictive parts
H01L 41/297 Individual layer electrodes of multilayered piezo-electric or electrostrictive parts
H01L 41/311 Mounting of piezo-electric or electrostrictive parts together with semiconductor elements, or other circuit elements, on a common substrate
H01L 41/312 Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base by laminating or bonding of piezo-electric or electrostrictive bodies
H01L 41/313 Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base by laminating or bonding of piezo-electric or electrostrictive bodies by metal fusing or with adhesives
H01L 41/314 Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base by depositing piezo-electric or electrostrictive layers, e.g. aerosol or screen printing
H01L 41/316 Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base by depositing piezo-electric or electrostrictive layers, e.g. aerosol or screen printing by vapour phase deposition
H01L 41/317 Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base by depositing piezo-electric or electrostrictive layers, e.g. aerosol or screen printing by liquid phase deposition
H01L 41/318 Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base by depositing piezo-electric or electrostrictive layers, e.g. aerosol or screen printing by liquid phase deposition by sol-gel deposition
H01L 41/319 Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base by depositing piezo-electric or electrostrictive layers, e.g. aerosol or screen printing using intermediate layers, e.g. for growth control
H01L 41/331 Shaping or machining of piezo-electric or electrostrictive bodies by coating or depositing using masks, e.g. lift-off
H01L 41/332 Shaping or machining of piezo-electric or electrostrictive bodies by etching, e.g. lithography
H01L 41/333 Shaping or machining of piezo-electric or electrostrictive bodies by moulding or extrusion
H01L 41/335 Shaping or machining of piezo-electric or electrostrictive bodies by machining
H01L 41/337 Shaping or machining of piezo-electric or electrostrictive bodies by machining by polishing or grinding
H01L 41/338 Shaping or machining of piezo-electric or electrostrictive bodies by machining by cutting or dicing
H01L 41/339 Shaping or machining of piezo-electric or electrostrictive bodies by machining by punching
H01L 43/00 Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
H01L 43/02 Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details
H01L 43/04 Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details of Hall-effect devices
H01L 43/06 Hall-effect devices
H01L 43/08 Magnetic-field-controlled resistors
H01L 43/10 Selection of materials
H01L 43/12 Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
H01L 43/14 Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof for Hall-effect devices
H01L 45/00 Solid state devices specially adapted for rectifying, amplifying, oscillating, or switching without a potential-jump barrier or surface barrier, e.g. dielectric triodes; Ovshinsky-effect devices; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
H01L 45/02 Solid state travelling-wave devices
H01L 47/00 Bulk negative resistance effect devices, e.g. Gunn-effect devices; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
H01L 47/02 Gunn-effect devices
H01L 49/00 Solid state devices not provided for in groups and and not provided for in any other subclass; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
H01L 49/02 Thin-film or thick-film devices
H01L 51/00 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof
H01L 51/05 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof specially adapted for rectifying, amplifying, oscillating or switching and having at least one potential-jump barrier or surface barrier; Capacitors or resistors with at least one potential-jump barrier or surface barrier
H01L 51/10 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof specially adapted for rectifying, amplifying, oscillating or switching and having at least one potential-jump barrier or surface barrier; Capacitors or resistors with at least one potential-jump barrier or surface barrier - Details of devices
H01L 51/30 Selection of materials
H01L 51/40 Processes or apparatus specially adapted for the manufacture or treatment of such devices or of parts thereof
H01L 51/42 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
H01L 51/44 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation - Details of devices
H01L 51/46 Selection of materials
H01L 51/48 Processes or apparatus specially adapted for the manufacture or treatment of such devices or of parts thereof
H01L 51/50 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof specially adapted for light emission, e.g. organic light emitting diodes (OLED) or polymer light emitting devices (PLED)
H01L 51/52 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof specially adapted for light emission, e.g. organic light emitting diodes (OLED) or polymer light emitting devices (PLED) - Details of devices
H01L 51/54 Selection of materials
H01L 51/56 Processes or apparatus specially adapted for the manufacture or treatment of such devices or of parts thereof