International Business Machines Corporation

United States of America

Back to Profile

1-100 of 501 for International Business Machines Corporation and 1 subsidiary Sort by
Query
Patent
Canada - CIPO
Excluding Subsidiaries
Aggregations Reset Report
Owner / Subsidiary
[Owner] International Business Machines Corporation 501
IBM United Kingdom Limited 2
Date
2023 7
2022 37
2021 77
2020 49
Before 2019 320
IPC Class
G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode 41
G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines 26
G06F 9/46 - Multiprogramming arrangements 24
G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU] 22
H04L 9/32 - Arrangements for secret or secure communications; Network security protocols including means for verifying the identity or authority of a user of the system 19
See more
Status
Pending 182
Registered / In Force 319
Found results for  patents
  1     2     3     ...     6        Next Page

1.

MIGRATION OF PRIMARY AND SECONDARY STORAGE SYSTEMS

      
Document Number 03219916
Status Pending
Filing Date 2023-02-06
Open to Public Date 2023-09-28
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Blea, David
  • Rooney, William

Abstract

Provided is a method for migrating from a first storage system to a second storage system. The method includes receiving a command to migrate from a first storage system to a second storage system, wherein the first storage system comprises a first primary storage and a first secondary storage, and wherein the second storage system comprises a second primary storage and a second secondary storage. The method further includes initiating, in response to receiving the command, data replication between the first primary storage and the second primary storage. The method further includes initiating, in response to receiving the command, data replication between the first primary storage and the second secondary storage. The method further includes migrating from the first storage system to the second storage system.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

2.

STORING DIAGNOSTIC STATE OF SECURE VIRTUAL MACHINES

      
Document Number 03217891
Status Pending
Filing Date 2022-09-12
Open to Public Date 2023-03-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Bradbury, Jonathan
  • Hendel, Torsten
  • Buendgen, Reinhard
  • Imbrenda, Claudio
  • Borntraeger, Christian
  • Frank, Janosch Andreas

Abstract

At least one request to store diagnostic state of a virtual machine is obtained. Based on obtaining the at least one request, a store of diagnostic state of the virtual machine is performed to provide stored diagnostic state of the virtual machine. The performing the store includes encrypting the diagnostic state of the virtual machine that is unencrypted and being stored to prevent a reading of the diagnostic state of the virtual machine by an untrusted entity prior to encrypting the diagnostic state of the virtual machine that is unencrypted and being stored.

IPC Classes  ?

  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
  • G06F 21/53 - Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems during program execution, e.g. stack integrity, buffer overflow or preventing unwanted data erasure by executing in a restricted environment, e.g. sandbox or secure virtual machine

3.

ATTESTATION OF A SECURE GUEST

      
Document Number 03217422
Status Pending
Filing Date 2022-08-03
Open to Public Date 2023-02-09
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Buendgen, Reinhard
  • Bradbury, Jonathan

Abstract

A trusted execution environment obtains an attestation request. The attestation request includes at least an attestation key. Based on obtaining the attestation request, one or more integrity measurements are computed, and the computing uses at least the attestation key. The one or more integrity measurements are provided to an entity, and the one or more integrity measurements are to be used to verify that a secure guest has been started using a selected secure guest image and selected secure guest metadata.

IPC Classes  ?

  • G06F 21/57 - Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines

4.

SECURE GUEST IMAGE AND METADATA UPDATE

      
Document Number 03217381
Status Pending
Filing Date 2022-08-02
Open to Public Date 2023-02-09
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Buendgen, Reinhard
  • Bradbury, Jonathan

Abstract

A secure guest generates an updated image for the secure guest, and computes one or more measurements for the updated image. The secure guest provides the one or more measurements to a trusted execution environment and obtains from the trusted execution environment metadata for the updated image. The metadata is generated based on metadata of the secure guest and obtaining the one or more measurements.

IPC Classes  ?

  • G06F 21/53 - Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems during program execution, e.g. stack integrity, buffer overflow or preventing unwanted data erasure by executing in a restricted environment, e.g. sandbox or secure virtual machine
  • G06F 21/57 - Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities

5.

QUERY AND UPDATE OF PROCESSOR BOOST INFORMATION

      
Document Number 03217883
Status Pending
Filing Date 2022-08-02
Open to Public Date 2023-02-09
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Javeri, Omkar
  • Surman, David
  • Lederer, Seth
  • Relson, Peter
  • Bradbury, Jonathan
  • Kauffman, Hunter
  • Stock, Martin
  • Boisvert, Brent

Abstract

A query operation is performed to obtain information for a select entity of a computing environment. The information includes boost information of one or more boost features currently available for the select entity. The one or more boost features are to be used to temporarily adjust one or more processing attributes of the select entity. The boost information obtained from performing the query operation is provided in an accessible location to be used to perform one or more actions to facilitate processing in the computing environment.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]

6.

VECTOR PROCESSING EMPLOYING BUFFER SUMMARY GROUPS

      
Document Number 03217774
Status Pending
Filing Date 2022-07-25
Open to Public Date 2023-02-02
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Driever, Peter
  • Surman, David
  • Szwed, Peter
  • Piechowski, Andrew
  • Goss, Steven Neil

Abstract

A vector entry of a signaling vector is registered to a buffer summary group. The buffer summary group includes one or more summary indicators for one or more buffers assigned to the buffer summary group. A command is processed that sets a vector indicator in the vector entry and based on setting the vector indicator in the vector entry, a summary indicator of the one or more summary indicators is set in the buffer summary group.

IPC Classes  ?

7.

TRANSFERRING TASK DATA BETWEEN EDGE DEVICES IN EDGE COMPUTING

      
Document Number 03221660
Status Pending
Filing Date 2022-06-22
Open to Public Date 2023-01-05
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Wang, Yue
  • Xing, Jing
  • Zhang, Jianfang
  • Liu, Dali
  • Liu, Juan
  • Wang, Yong

Abstract

Edge device task management by receiving an indicator corresponding to a first container running a task on a first edge device of a cluster of edge devices, wherein the indicator indicates an error status of the first container, and wherein task data of the task is stored in a first local storage of the first edge device, selecting a second edge device from the cluster of edge devices, wherein a second container on the second edge device is to run the task, instructing the first and second edge devices to transfer the task data from the first local storage of the first edge device to a second local storage of the second edge device, and in response to receiving a notification that indicates the task data has been transferred from the first local storage to the second local storage, sending the task to the second container.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt

8.

NEURAL NETWORK PROCESSING ASSIST INSTRUCTION

      
Document Number 03213333
Status Pending
Filing Date 2022-06-09
Open to Public Date 2022-12-22
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Albarakat, Laith
  • Bradbury, Jonathan
  • Slegel, Timothy
  • Lichtenau, Cedric
  • Weishaupt, Simon
  • Saporito, Anthony

Abstract

A first processor processes an instruction configured to perform a plurality of functions. The plurality of functions includes one or more functions to operate on one or more tensors. A determination is made of a function of the plurality of functions to be performed. The first processor provides to a second processor information related to the function. The second processor is to perform the function. The first processor and the second processor share memory providing memory coherence.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode
  • G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead
  • G06F 9/54 - Interprogram communication

9.

SINGLE FUNCTION TO PERFORM MULTIPLE OPERATIONS WITH DISTINCT OPERATION PARAMETER VALIDATION

      
Document Number 03215152
Status Pending
Filing Date 2022-06-09
Open to Public Date 2022-12-22
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Lichtenau, Cedric
  • Bradbury, Jonathan
  • Albarakat, Laith

Abstract

An indication of a function to be executed is obtained, in which the function is one function of an instruction and configured to perform multiple operations. A determination is made of an operation of the multiple operations to be performed, and a set of function-specific parameters is validated using a set of values and a corresponding set of relationships. The set of values and corresponding set of relationships are based on the operation to be performed. One set of values and corresponding set of relationships are to be used for the operation to be performed, and another set of values and corresponding set of relationships are to be used for another operation of the multiple operations.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means

10.

REFORMATTING OF TENSORS TO PROVIDE SUB-TENSORS

      
Document Number 03217152
Status Pending
Filing Date 2022-06-09
Open to Public Date 2022-12-22
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Lichtenau, Cedric
  • Gopalakrishnan, Kailash
  • Srinivasan, Vijayalakshmi
  • Saporito, Anthony
  • Shukla, Sunil
  • Venkataramani, Swagath

Abstract

A tensor of a first select dimension is reformatted to provide one or more sub-tensors of a second select dimension. The reformatting includes determining a number of sub-tensors to be used to represent the tensor. The reformatting further includes creating the number of sub-tensors, in which a sub-tensor is to start on a boundary of a memory unit. Data of the tensor is rearranged to fit within the number of sub-tensors.

IPC Classes  ?

  • G06F 7/76 - Arrangements for rearranging, permuting or selecting data according to predetermined rules, independently of the content of the data

11.

RECURRENT NEURAL NETWORK CELL ACTIVATION TO PERFORM A PLURALITY OF OPERATIONS IN A SINGLE INVOCATION

      
Document Number 03213340
Status Pending
Filing Date 2022-06-13
Open to Public Date 2022-12-22
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Lichtenau, Cedric
  • Bradbury, Jonathan
  • Albarakat, Laith
  • Weishaupt, Simon

Abstract

An instruction to perform a recurrent neural network cell activation is executed. The executing includes performing a plurality of operations of the recurrent neural network cell activation to provide a result of the recurrent neural network cell activation. The plurality of operations is performed in a single invocation of the instruction. The recurrent neural network cell activation is, for instance, a long short-term memory cell activation or a gated recurrent unit cell activation.

IPC Classes  ?

  • G06N 3/04 - Architecture, e.g. interconnection topology
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means

12.

DATA CONVERSION TO/FROM SELECTED DATA TYPE WITH IMPLIED ROUNDING MODE

      
Document Number 03215165
Status Pending
Filing Date 2022-06-14
Open to Public Date 2022-12-22
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Albarakat, Laith
  • Bradbury, Jonathan
  • Slegel, Timothy
  • Lichtenau, Cedric
  • Von Buttlar, Joachim

Abstract

An instruction to convert data from a source data type to a target data type is obtained. The source data type is selected from one or more source data types supported by the instruction, and the target data type is selected from one or more target data types supported by the instruction. Based on a selected data type of the source data type or the target data type, a determination is made of a rounding mode for use by the instruction. The rounding mode is implicitly set based on the selected data type; it is assigned to the selected data type. A conversion of the data from the source data type to the target data type is performed. The conversion includes performing a rounding operation using the rounding mode implicitly set. The performing the conversion provides a result in the target data type, which is written to a select location.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

13.

RESET DYNAMIC ADDRESS TRANSLATION PROTECTION INSTRUCTION

      
Document Number 03217151
Status Pending
Filing Date 2022-05-31
Open to Public Date 2022-12-08
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Giamei, Bruce
  • Slegel, Timothy
  • Borntraeger, Christian
  • Osisek, Damian
  • Heller, Lisa
  • Gaertner, Ute
  • Yost, Christine
  • Tzortzatos, Elpida

Abstract

An instruction is provided to perform a reset address translation protection operation when executed. Executing the instruction includes determining, by a processor, that an address translation protection bit in a specified translation table entry associated with a storage block is to be reset. Based on determining that the address translation protection bit is to be reset, executing the instruction includes resetting the address translation protection bit to deactivate write protection for the storage block. The resetting is absent waiting for an action by one or more other processors of the computing environment.

IPC Classes  ?

  • G06F 12/1009 - Address translation using page tables, e.g. page table structures
  • G06F 12/1027 - Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]

14.

FAST IDENTIFICATION OF OFFENSE AND ATTACK EXECUTION IN NETWORK TRAFFIC PATTERNS

      
Document Number 03180874
Status Pending
Filing Date 2021-04-23
Open to Public Date 2022-11-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Tackabury, Wayne Francis
  • Dos Santos Silva, Bruno

Abstract

A method, apparatus and computer system to identify threats on a TCP/IP-based network. The approach leverages a set of reference patterns (or "network spectrals") associated with one or more defined Indicators of Compromise (IoCs). At least one reference pattern is time-bounded and profiles a network traffic pattern using a set of session data (e.g., volume, direction, traffic metadata) that is payload-neutral and may be derived in part by time-series compression of at least one non-varying encoding interval. Network traffic data associated with a traffic pattern under test is received and encoded to generate a test spectral. A stream-based real-time comparison is performed to determine whether the test spectral matches against any of the reference spectrals. Responsive to identifying a match, a given remediation or mitigation action is then taken. A reference spectral may represent a bi- or multi-directional flow, and the multi-directional flow may involve multiple entities.

IPC Classes  ?

  • G06F 21/55 - Detecting local intrusion or implementing counter-measures

15.

INTELLIGENT IDENTIFICATION OF AN EXECUTION ENVIRONMENT

      
Document Number 03207065
Status Pending
Filing Date 2022-04-07
Open to Public Date 2022-10-13
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Zhang, Apeng
  • Gao, Lei
  • Wang, Jin
  • Xu, Jing
  • Wang, Jun
  • Yu, Donghai

Abstract

Mechanisms are provided for intelligently identifying an execution environment to execute a computing job. An execution time of the computing job in each execution environment of a plurality of execution environments is predicted by applying a set of existing machine learning models matching execution context information and key parameters of the computing job and execution environment information of the execution environment. The predicted execution time of the machine learning models is aggregated. The aggregated predicted execution times of the computing job are summarized for the plurality of execution environments. Responsive to a selection of an execution environment from the plurality of execution environments based on the summary of the aggregated predicted execution times of the computing job, the computing job is executed in the selected execution environment. Related data during the execution of the computing job in the selected execution environment is collected.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]

16.

PROGRAM CONTEXT MIGRATION

      
Document Number 03205093
Status Pending
Filing Date 2022-03-07
Open to Public Date 2022-10-06
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Correia Villa Real, Lucas
  • Stelmar Netto, Marco Aurelio
  • De Freitas Cunha, Renato Luiz
  • Souza, Renan Francisco Santos
  • Braz, Alan

Abstract

A collection of code fragments loaded in an interactive development platform for running on a first processor can be received. A candidate fragment in the collection of code fragments can be determined for migration to a second processor based on characterizing the collection of code fragments. Based on a location of the candidate fragment in the collection of code fragments, a spot can be identified in the collection of code fragments to inject a code for saving program context. The code for saving program context can be injected in the identified spot. Responsive to the code for saving program context having run on the first processor and based on a criterion, the program context can be migrated to the second processor.

IPC Classes  ?

  • G06F 8/76 - Adapting program code to run in a different environment; Porting
  • G06F 9/46 - Multiprogramming arrangements
  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt

17.

PHASE CHANGE MEMORY CELL WITH RESISTIVE LINER

      
Document Number 03207064
Status Pending
Filing Date 2022-03-29
Open to Public Date 2022-10-06
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Cheng, Kangguo
  • Liu, Zuoguang
  • Li, Juntao
  • Xie, Ruilong

Abstract

A phase change memory (PCM) cell is disclosed which includes a first electrode (102), a heater (104) electrically connected to the first electrode, a PCM material (112) electrically connected to the heater, a second electrode (114) electrically connected to the PCM material, and a resistive liner (108) in direct contact with and electrically connected to a sidewall of the heater and to the PCM material. The resistive liner may have an L-shaped cross-section with a first leg extending along the sidewall of the heater and a second leg extending outward from the heater.

IPC Classes  ?

  • H10B 63/10 - Phase change RAM [PCRAM, PRAM] devices

18.

ELECTROSTATIC PROTECTION DEVICE

      
Document Number 03205079
Status Pending
Filing Date 2022-02-08
Open to Public Date 2022-09-29
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Morf, Thomas
  • Francese, Pier Andrea

Abstract

An electrostatic protection device for protecting an input port of an electronic circuit. The electrostatic protection device includes a first stacked coil, a second stacked coil, and an input terminal, wherein the second stacked coil is inductively coupled to the first stacked coil. The first stacked coil comprises a first coil input connected to the input terminal, a first coil output port connected to a lower frequency ESD protection circuit, and a first coil termination port connected to a termination load, and wherein the lower frequency ESD protection circuit comprises a lower frequency output. The second stacked coil comprises an output port connected to a higher frequency ESD protection circuit, and wherein the higher frequency ESD protection circuit comprises a higher frequency output. The electrostatic protection device comprises a summation circuit configured for outputting a summation of the higher frequency output and the lower frequency output.

IPC Classes  ?

  • H03L 5/00 - Automatic control of voltage, current, or power

19.

HEXADECIMAL FLOATING POINT MULTIPLY AND ADD INSTRUCTION

      
Document Number 03207063
Status Pending
Filing Date 2022-03-01
Open to Public Date 2022-09-15
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Schwarz, Eric
  • Payer, Stefan
  • Leber, Petra
  • Schelm, Kerstin
  • Klein, Michael
  • Slegel, Timothy
  • Copeland, Reid
  • Guo, Xin

Abstract

An instruction to perform an operation selected from a plurality of operations configured for the instruction is executed. The executing includes determining a value of a selected operand of the instruction. The determining the value is based on a control of the instruction and includes reading the selected operand of the instruction from a selected operand location to obtain the value of the selected operand, based on the control having a first value, and using a predetermined value as the value of the selected operand, based on the control having a second value. The value and another selected operand of the instruction are multiplied to obtain a product. An arithmetic operation is performed using the product and a chosen operand of the instruction to obtain an intermediate result. A result from the intermediate result is obtained and placed in a selected location.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

20.

MEMORY ERASURE USING PROXIMITY HEATERS

      
Document Number 03205069
Status Pending
Filing Date 2022-02-23
Open to Public Date 2022-09-09
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Cohen, Guy M.
  • Ando, Takashi
  • Gong, Nanbo

Abstract

A memory array with memory cells may have one or more heaters integrated into the memory array between the memory cells. A processor in communication with the heater may notify the heater to activate when a trigger event occurs.

IPC Classes  ?

  • G11C 11/56 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency

21.

VECTOR CONVERT HEXADECIMAL FLOATING POINT TO SCALED DECIMAL INSTRUCTION

      
Document Number 03204610
Status Pending
Filing Date 2022-02-18
Open to Public Date 2022-09-01
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Schwarz, Eric
  • Schelm, Kerstin
  • Leber, Petra
  • Mueller, Silvia
  • Copeland, Reid
  • Guo, Xin
  • Lichtenau, Cedric

Abstract

An instruction to perform converting and scaling operations is provided. Execution of the instruction includes converting an input value in one format to provide a converted result in another format. The converted result is scaled to provide a scaled result. A result obtained from the scaled result is placed in a selected location. Further, an instruction to perform scaling and converting operations is provided. Execution of the instruction includes scaling an input value in one format to provide a scaled result and converting the scaled result from the one format to provide a converted result in another format. A result obtained from the converted result is placed in a selected location.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

22.

VECTOR PACK AND UNPACK INSTRUCTIONS

      
Document Number 03204622
Status Pending
Filing Date 2022-02-18
Open to Public Date 2022-09-01
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Schwarz, Eric
  • Slegel, Timothy
  • Bradbury, Jonathan
  • Klein, Michael
  • Copeland, Reid
  • Guo, Xin

Abstract

Vector pack and unpack instructions are described. An instruction to perform a conversion between one decimal format and another decimal format is executed, in which the one decimal format or the other decimal format is a zoned decimal format. The executing includes obtaining a value from at least one register specified using the instruction. At least a portion of the value is converted from the one decimal format to the other decimal format different from the one decimal format to provide a converted result. A result obtained from the converted result is written into a single register specified using the instruction.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

23.

DECIMAL SCALE AND CONVERT AND SPLIT TO HEXADECIMAL FLOATING POINT INSTRUCTION

      
Document Number 03204507
Status Pending
Filing Date 2022-02-18
Open to Public Date 2022-09-01
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Schwarz, Eric
  • Leber, Petra
  • Schelm, Kerstin
  • Mueller, Silvia
  • Copeland, Reid
  • Guo, Xin
  • Lichtenau, Cedric

Abstract

An instruction to perform scaling, converting and splitting operations is executed. The executing the instruction includes scaling an input value in one format to provide a scaled result. The scaled result is converted from the one format to provide a converted result in another format. The converted result is split into multiple parts, and one or more parts of the multiple parts are placed in a selected location.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

24.

FIELD EFFECT TRANSISTOR (FET) DEVICES

      
Document Number 03192560
Status Pending
Filing Date 2021-11-12
Open to Public Date 2022-07-07
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Vega, Reinaldo
  • Ando, Takashi
  • Chi, Cheng
  • Adusumilli, Praneet

Abstract

A field effect transistor (FET) device is provided. The device includes an isolation region on a support substrate that separates a first back gate from a second back gate, and a gate dielectric layer on a first channel region and a second channel region. The device further includes a conductive gate layer having a work function value and a ferroelectric layer on the gate dielectric layer, wherein the first back gate can adjust a threshold voltage for the first channel region, and the second back gate can adjust a threshold voltage for the second channel region.

IPC Classes  ?

  • G11C 11/22 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
  • H01L 27/10 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration

25.

MIXED CONDUCTING VOLATILE MEMORY ELEMENT FOR ACCELERATED WRITING OF NONVOLATILE MEMRISTIVE DEVICE

      
Document Number 03194543
Status Pending
Filing Date 2021-11-09
Open to Public Date 2022-06-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Brew, Kevin W.
  • Wang, Wei
  • Ok, Injo
  • Yu, Lan
  • Kim, Youngseok

Abstract

An analog memory structure, and methods of writing to such a structure are provided. The analog memory structure includes a volatile memory element in series with a non-volatile memory element. The analog memory structure may change resistance upon application of a voltage. This may enable accelerated writing of the analog memory structure.

IPC Classes  ?

  • G11C 11/15 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using thin-film elements using multiple magnetic layers

26.

WRAP-AROUND CONTACTS INCLUDING LOCALIZED METAL SILICIDE

      
Document Number 03192555
Status Pending
Filing Date 2021-10-20
Open to Public Date 2022-06-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Miller, Eric
  • Frougier, Julien
  • Mignot, Yann
  • Greene, Andrew M.

Abstract

A conformally deposited metal liner used for forming discrete, wrap-around contact structures is localized between pairs of gate structures and below the tops of the gate structures. Block mask patterning is employed to protect transistors over active regions of a substrate while portions of the metal liner between active regions are removed. A chamfering technique is employed to selectively remove further portions of the metal liner within the active regions. Metal silicide liners formed on the source/drain regions using the conformally deposited metal liner are electrically connected to source/drain contact metal following the deposition and patterning of a dielectric layer and subsequent metallization.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate

27.

WRAPPED-AROUND CONTACT FOR VERTICAL FIELD EFFECT TRANSISTOR TOP SOURCE-DRAIN

      
Document Number 03194548
Status Pending
Filing Date 2021-11-10
Open to Public Date 2022-06-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Xie, Ruilong
  • Miller, Eric
  • Shearer, Jeffrey
  • Fan, Su Chen
  • Wu, Heng

Abstract

A semiconductor structure and a method of making the same includes a first recessed region in a semiconductor structure, the first recessed region defining a first opening with a first positive tapering profile, as at least part of the first positive tapering profile, widening the first opening in a direction towards a top source/drain region of the semiconductor structure at a first tapering angle, and a top source/drain contact within the first opening, the top source/drain contact surrounding a surface of the top source/drain region. The semiconductor structure further includes a protective liner located at an interface between a bottom portion of the top source/drain region, a top spacer adjacent to the top source/drain region and a dielectric material between two consecutive top source/drain regions, the protective liner protects the top source/drain regions during contact patterning.

IPC Classes  ?

  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 21/336 - Field-effect transistors with an insulated gate
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate

28.

ADVERSARIAL SEMI-SUPERVISED ONE-SHOT LEARNING

      
Document Number 03194463
Status Pending
Filing Date 2021-11-24
Open to Public Date 2022-06-16
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Katsuki, Takayuki
  • Osogami, Takayuki

Abstract

A method, a computer program product, and a system of adversarial semi-supervised one-shot training using a data stream. The method includes receiving a data stream based on an observation, wherein the data stream includes unlabeled data and labeled data. The method also includes training a prediction model with the labeled data using stochastic gradient descent based on a classification loss and an adversarial term and training a representation model with the labeled data and the unlabeled data based on a reconstruction loss and the adversarial term. The adversarial term is a cross-entropy between the middle layer output data from the models. The classification loss is a cross-entropy between the labeled data and an output from the prediction model. The method further includes updating a discriminator with middle layer output data from the prediction model and the representation model and based on a discrimination loss, and discarding the data stream.

IPC Classes  ?

29.

NON-VOLATILE ANALOG RESISTIVE MEMORY CELLS IMPLEMENTING FERROELECTRIC SELECT TRANSISTORS

      
Document Number 03192550
Status Pending
Filing Date 2021-11-09
Open to Public Date 2022-06-16
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gong, Nanbo
  • Ando, Takashi

Abstract

A device includes a non-volatile analog resistive memory cell. The non-volatile analog resistive memory device includes a resistive memory device and a select transistor. The resistive memory device includes a first terminal and a second terminal. The resistive memory device has a tunable conductance. The select transistor is a ferroelectric field-effect transistor (FeFET) device which includes a gate terminal, a source terminal, and a drain terminal. The gate terminal of the FeFET device is connected to a word line. The source terminal of the FeFET device is connected to a source line. The drain terminal of the FeFET device is connected to the first terminal of the resistive memory device. The second terminal of the resistive memory device is connected to a bit line.

IPC Classes  ?

  • G11C 11/22 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements

30.

OPTIMIZING PLACEMENTS OF WORKLOADS ON MULTIPLE PLATFORMS AS A SERVICE BASED ON COSTS AND SERVICE LEVELS

      
Document Number 03192548
Status Pending
Filing Date 2021-10-26
Open to Public Date 2022-06-09
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor Aronovich, Lior

Abstract

A computer-implemented method, a computer program product, and a computer system for optimizing workload placements in a system of multiple platforms as a service. A computer first places respective workloads on respective platforms that yield lowest costs for the respective workloads. The computer determines whether mandatory constraints are satisfied. The computer checks best effort constraints, in response to the mandatory constraints being satisfied. The computer determines a set of workloads for which the best effort constraints are not satisfied and determines a set of candidate platforms that yield the lowest costs and enable the best effort constraints to be satisfied. From the set of workloads, the computer selects a workload that has a lowest upgraded cost and updates the workload by setting an upgraded platform index.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]

31.

BATCH JOB PERFORMANCE IMPROVEMENT IN ACTIVE-ACTIVE ARCHITECTURE

      
Document Number 03192544
Status Pending
Filing Date 2021-11-17
Open to Public Date 2022-06-09
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Li, Shuo
  • Wang, Xiaobo
  • Zhong, Jiatian
  • Sun, Shengyan

Abstract

In an approach for improving performance of a batch job (232) running on database servers in an active-active architecture. A processor (504), responsive to the batch job (232) being ready to be executed on a source database server (210), sends a first communication to a target database server (220) with a synchronization start point (410). While executing the batch job (232), the processor (504) utilizes a pre-lock function, pre-load function, and lock avoidance function to prevent lock conflicts (420). The processor (504), responsive to either the source database server (210) or the target database server (220) encountering a commit statement, suspends the respective database server and sends a second communication to ask if the other respective database server is ready to complete the commit statement (430). The processor (504), responsive to the other respective database server confirming its ready to complete the commit statement, completes the commit statement on both the source database server (210) and the target database server (220).

IPC Classes  ?

  • G06F 16/00 - Information retrieval; Database structures therefor; File system structures therefor

32.

PHASE-CHANGE MATERIAL-BASED XOR LOGIC GATES

      
Document Number 03194448
Status Pending
Filing Date 2021-10-27
Open to Public Date 2022-06-09
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gong, Nanbo
  • Cohen, Guy
  • Ando, Takashi

Abstract

An apparatus comprises a phase-change material, a first electrode at a first end of the phase-change material, a second electrode at a second end of the phase-change material, and a heating element coupled to a least a given portion of the phase-change material between the first end and the second end. The apparatus also comprises a first input terminal coupled to the heating element, a second input terminal coupled to the heating element, and an output terminal coupled to the second electrode.

IPC Classes  ?

  • H03K 19/02 - Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
  • H03K 19/12 - Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using diode rectifiers

33.

AUTOMATIC GENERATION OF AFFINITY AND ANTI-AFFINITY RULES

      
Document Number 03194458
Status Pending
Filing Date 2021-10-26
Open to Public Date 2022-06-09
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Jayachandran, Praveen
  • Singhee, Amith
  • Sree Prakash, Ashok Pon Kumar
  • Govindarajan, Chander
  • Ramakrishna, Venkatraman

Abstract

A computer implemented method, including: receiving an application to be deployed on a container-based environment (301); obtaining a specification for the application including information regarding a portion of the application contained within a given container (302); extracting portion information corresponding to connections between different portions of the application and interferences between different portions of the application (303); identifying affinity indicators and anti-affinity indicators for the portions of the application, wherein the affinity indicators are based upon the connections between different portions of the application and wherein the anti-affinity indicators are based upon the interferences between different portions of the application; and constructing affinity rules and anti-affinity rules for the containers by combining the affinity indicators to construct affinity rules and combining the anti-affinity indicators to construct anti-affinity rules (306).

IPC Classes  ?

  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]

34.

QUANTUM RESOURCE ESTIMATION USING A RE-PARAMETERIZATION METHOD

      
Document Number 03204084
Status Pending
Filing Date 2021-12-03
Open to Public Date 2022-06-09
Owner
  • GOLDMAN SACHS & CO. LLC (USA)
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Mazzola, Guglielmo
  • Woerner, Stefan
  • Krishnakumar, Rajiv
  • Zeng, William Joseph
  • Stamatopoulos, Nikitas
  • Chakrabarti, Shouvanik

Abstract

Systems, computer-implemented methods, and computer program products to facilitate estimation of quantum resources to calculate an expectation value of a stochastic process using a re-parameterization method are provided. According to an embodiment, a system can comprise a processor that executes computer executable components stored in memory. The computer executable components can comprise a re-parameterization component that applies a quantum fault-tolerant operation to a variationally prepared quantum state corresponding to a probability distribution to produce a quantum state corresponding to a target probability distribution. The computer executable components can further comprise an estimation component that estimates at least one defined criterion of a quantum computer to be used to compute an expectation value of a stochastic process associated with the target probability distribution.

IPC Classes  ?

  • G06N 10/20 - Models of quantum computing, e.g. quantum circuits or universal quantum computers
  • G06Q 40/04 - Trading; Exchange, e.g. stocks, commodities, derivatives or currency exchange
  • G06Q 40/06 - Asset management; Financial planning or analysis
  • G06N 10/60 - Quantum algorithms, e.g. based on quantum optimisation, or quantum Fourier or Hadamard transforms
  • G06N 3/04 - Architecture, e.g. interconnection topology

35.

CERTIFICATE BASED SECURITY USING POST QUANTUM CRYPTOGRAPHY

      
Document Number 03192541
Status Pending
Filing Date 2021-10-28
Open to Public Date 2022-05-05
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gray, Michael
  • Madineni, Narayana
  • Mcmahon, Simon
  • Green, Matthew
  • Waltenberg, Peter

Abstract

Establishing secure communications by sending a server certificate message, the certificate message including a first certificate associated with a first encryption algorithm and a second certificate associated with a second encryption algorithm, the first certificate and second certificate bound to each other, signing a first message associated with client-server communications using a first private key, the first private key associated with the first certificate, signing a second message associated with the client-server communications using a second private key, the second private key associated with the second certificate, the second message including the signed first message, and sending a server certificate verify message, the server certificate verify message comprising the signed first message and the signed second message.

IPC Classes  ?

  • H04L 9/08 - Key distribution
  • H04L 9/32 - Arrangements for secret or secure communications; Network security protocols including means for verifying the identity or authority of a user of the system

36.

NEURAL NETWORK PROCESSING

      
Document Number 03187723
Status Pending
Filing Date 2021-08-11
Open to Public Date 2022-04-28
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Cassidy, Andrew Stephen
  • Appuswamy, Rathinakumar
  • Arthur, John Vernon
  • Sawada, Jun
  • Modha, Dharmendra
  • Debole, Michael Vincent
  • Datta, Pallab
  • Nayak, Tapan Kumar

Abstract

Conflict-free, stall-free, broadcast networks on neural inference chips are provided. A neural inference chip comprises a plurality of network nodes and a network on chip interconnecting the plurality of network nodes. The network comprises at least one pair of directional paths. The paths of each pair have opposite directions and a common end. The network is configured to accept data at any of the plurality of nodes. The network is configured to propagate data along a first of the pair of directional paths from a source node to the common end of the pair of directional paths and along a second of the pair of directional paths from the common end of the pair of directional paths to one or more destination node..

IPC Classes  ?

  • G06N 3/04 - Architecture, e.g. interconnection topology
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means

37.

TRI-COLOR BITMAP ARRAY FOR GARBAGE COLLECTION

      
Document Number 03185707
Status Pending
Filing Date 2021-09-02
Open to Public Date 2022-03-17
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Horie, Michihiro
  • Ogata, Kazunori

Abstract

A first object at a memory address is identified. A first index location in a bitmap that corresponds to that memory address is calculated. A bit is set at the first index location. A pointer to a child object within the first object is detected. A memory address of that child object is identified using the pointer. A second index location in the bitmap that corresponds to that memory address is calculated. A bit is set at the second index location. A bit is also set at a third index location, which is adjacent to the first index location.

IPC Classes  ?

  • G06F 13/00 - Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units

38.

QUANTUM CONTROLLER FAST PATH INTERFACE

      
Document Number 03187715
Status Pending
Filing Date 2021-09-09
Open to Public Date 2022-03-17
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Black, Ryan
  • Greenfield, Todd
  • Lindquist, Timothy

Abstract

Techniques regarding routing qubit data are provided. For example, one or more embodiments described herein can comprise a computer-implemented method for training a quantum controller fast path interface that can control the qubit data routing. The computer-implemented method can comprise training, by a system operatively coupled to a processor, the quantum controller fast path interface for routing qubit data bits between a quantum controller and conditional engine by adjusting a delay value such that a mesochronous clock domain is characterized by a direct register-to-register transfer pattern.

IPC Classes  ?

  • G06F 7/00 - Methods or arrangements for processing data by operating upon the order or content of the data handled
  • H04L 9/08 - Key distribution

39.

GRAIN SIZE CONTROL OF SUPERCONDUCTING THIN FILM MATERIALS FOR JOSEPHSON|JUNCTIONS

      
Document Number 03185684
Status Pending
Filing Date 2021-08-05
Open to Public Date 2022-02-24
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Wymore, Benjamin
  • Lavoie, Christian
  • Brink, Markus
  • Bruley, John

Abstract

The disclosed Josephson junction device (110) includes a lower superconducting layer (210) formed on a substrate (220), a junction layer (240) formed on the lower superconducting material layer, and an upper superconducting layer (230) formed over the junction layer, wherein at least the lower superconducting layer comprises grains having a size that is larger than a size (260) of a Josephson junction. Alternatively, the lower superconducting layer is an alloy, preferably of Al, having an average grain size less than 20 nm and smaller than a length and width of the junction.

IPC Classes  ?

40.

METHODS FOR DETECTING AND MONITORING BIAS IN SOFTWARE APPLICATION USING ARTIFICIAL INTELLIGENCE AND DEVICES THEREOF

      
Document Number 03181663
Status Pending
Filing Date 2021-06-23
Open to Public Date 2022-01-20
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Sekiguchi, Kazuki
  • Terui, Fumihiko
  • Dey, Pinaki Chandra

Abstract

A computer-implemented method for detecting and monitoring bias in an application includes index training data and obtaining a plurality of correlation values of one or more features in the indexed training data with a target variable. For each of the one or more features, a first value and a favorable result and a second value along with the unfavorable result is calculated. An absolute value of a difference between the calculated first value and the calculated second value is calculated. A total sum of the calculated absolute value of the plurality of correlation values of the one of the one or more features is calculated.

IPC Classes  ?

41.

QUANTUM DEVICE FACILITATING SUPPRESSION OF ZZ INTERACTIONS BETWEEN TWO-JUNCTION SUPERCONDUCTING QUBITS

      
Document Number 03180256
Status Pending
Filing Date 2021-06-29
Open to Public Date 2022-01-06
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Finck, Aaron
  • Carniol, April
  • Blair, John

Abstract

Devices and/or computer-implemented methods facilitating static ZZ suppression and Purcell loss reduction using mode-selective coupling in two-junction superconducting qubits are provided. In an embodiment, a device can comprise a superconducting bus resonator. The device can further comprise a first superconducting qubit. The device can further comprise a second superconducting qubit, the first superconducting qubit and the second superconducting qubit respectively comprising: a first superconducting pad; a second superconducting pad; a third superconducting pad; a first Josephson Junction coupled to the first superconducting pad and the second superconducting pad; and a second Josephson Junction coupled to the second superconducting pad and the third superconducting pad. The first superconducting pad and the second superconducting pad of the first superconducting qubit and the second superconducting qubit are coupled to the superconducting bus resonator. The superconducting bus resonator entangles the first superconducting qubit and the second superconducting qubit based on receiving a control signal.

IPC Classes  ?

  • G06N 10/00 - Quantum computing, i.e. information processing based on quantum-mechanical phenomena

42.

PERMISSIONED EVENTING IN A DECENTRALIZED DATABASE

      
Document Number 03180249
Status Pending
Filing Date 2021-05-18
Open to Public Date 2022-01-06
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Yellick, Jason
  • Sorniotti, Alessandro
  • Androulaki, Elli
  • De Caro, Angelo

Abstract

An example operation includes one or more of receiving event data from an entity, determining the event data satisfies an endorsement policy, setting an identifier that corresponds to a context of the event data, generating an event which includes the event data and the identifier, and submitting the event for recording in a decentralized database, wherein the identifier is used to validate that a state corresponding to the context in the event data is correct.

IPC Classes  ?

  • G06F 17/40 - Data acquisition and logging
  • H04L 9/06 - Arrangements for secret or secure communications; Network security protocols the encryption apparatus using shift registers or memories for blockwise coding, e.g. D.E.S. systems

43.

FAST RADIO FREQUENCY PACKAGE

      
Document Number 03180263
Status Pending
Filing Date 2021-06-06
Open to Public Date 2022-01-06
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Janett, Andreas
  • Paredes, Stephan
  • Stoeferle, Thilo
  • Filippal, Stefan
  • Mergenthaler, Matthias

Abstract

A device package includes a chip carrier having a cavity and one or more microwave waveguides configured to route signals. There is a chip including one or more pads and located within the cavity of the chip carrier. Each pad is aligned with a corresponding connector pad of a microwave waveguide of the one or more microwave waveguides of the chip carrier. At least one of the one or more pads is coupled to the connector pad of the corresponding microwave waveguide by way of an overlap capacitive coupling between the at least one pad and the aligned corresponding connector pad of the microwave waveguide.

IPC Classes  ?

  • H01L 23/498 - Leads on insulating substrates
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices

44.

QUANTUM COUPLER FACILITATING SUPPRESSION OF ZZ INTERACTIONS BETWEEN QUBITS

      
Document Number 03181657
Status Pending
Filing Date 2021-06-28
Open to Public Date 2022-01-06
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Finck, Aaron
  • Blair, John
  • Carniol, April
  • Dial, Oliver
  • Kumph, Muir

Abstract

Devices and/or computer-implemented methods to facilitate ZZ cancellation between qubits are provided. According to an embodiment, a device can comprise a coupler device that operates in a first oscillating mode and a second oscillating mode. The device can further comprise a first superconducting qubit coupled to the coupler device based on a first oscillating mode structure corresponding to the first oscillating mode and based on a second oscillating mode structure corresponding to the second oscillating mode. The device can further comprise a second superconducting qubit coupled to the coupler device based on the first oscillating mode structure and the second oscillating mode structure.

IPC Classes  ?

  • H03K 19/195 - Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using superconductive devices
  • G06N 10/00 - Quantum computing, i.e. information processing based on quantum-mechanical phenomena

45.

TUNABLE QUANTUM COUPLER FACILITATING A QUANTUM GATE BETWEEN QUBITS

      
Document Number 03176192
Status Pending
Filing Date 2021-06-15
Open to Public Date 2021-12-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Stehlik, Jiri
  • Underwood, Devin
  • Zajac, David
  • Steffen, Matthias

Abstract

Devices and/or computer-implemented methods to facilitate a quantum gate between qubits using a tunable coupler and a capacitor device are provided. According to an embodiment, a quantum coupler device can comprise a tunable coupler coupled between terminals of a same polarity of a first qubit and a second qubit, the tunable coupler configured to control a first coupling between the first qubit and the second qubit. The quantum coupler device can further comprise a capacitor device coupled to terminals of an opposite polarity of the first qubit and the second qubit, the capacitor device configured to provide a second coupling that is opposite in sign relative to the first coupling.

IPC Classes  ?

  • G06N 10/00 - Quantum computing, i.e. information processing based on quantum-mechanical phenomena

46.

SUPERCONDUCTING QUBIT LIFETIME AND COHERENCE IMPROVEMENT VIA SUBSTRATE BACKSIDE ETCHING

      
Document Number 03180884
Status Pending
Filing Date 2021-06-17
Open to Public Date 2021-12-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gill, Douglas
  • Sandberg, Martin
  • Adiga, Vivekananda
  • Martin, Yves
  • Paik, Hanhee

Abstract

A method for improving lifetime and coherence time of a qubit in a quantum mechanical device includes providing a substrate having at least one qubit formed on the frontside, the at least one qubit having capacitor pads, and removing substrate material from the backside at an area opposite the qubit and/or depositing a superconducting metal layer at the backside area opposite the qubit to reduce radiofrequency electrical current loss due to at least one of silicon-air (SA) interface, metal-air (MA) interface or silicon-metal (SM) interface.

IPC Classes  ?

  • G06N 10/40 - Physical realisations or architectures of quantum processors or components for manipulating qubits, e.g. qubit coupling or qubit control
  • H10N 60/01 - Manufacture or treatment
  • H10N 60/80 - Constructional details
  • H10N 60/82 - Current path

47.

BLOCK ID ENCODING IN ERASURE CODED STORAGE SYSTEM

      
Document Number 03181634
Status Pending
Filing Date 2021-06-22
Open to Public Date 2021-12-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Blaum, Mario
  • Hetzler, Steven Robert

Abstract

A data storage system includes a plurality of data blocks. A set of data blocks are protected by an erasure correcting code and each of the data blocks in the set of data blocks includes block identification information. The data storage system includes a processor and logic integrated with the processor, executable by the processor, or integrated with and executable by the processor. The logic is configured to verify the block identification information for each of the data blocks in the set of data blocks at a time of read (1102), and as part of reconstructing a data block, reconstruct the block identification information for the data block and verify the block identification information (1104).

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

48.

SELECTIVE CHEMICAL FREQUENCY MODIFICATION OF JOSEPHSON JUNCTION RESONATORS

      
Document Number 03181649
Status Pending
Filing Date 2021-06-15
Open to Public Date 2021-12-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Lewandowski, Eric
  • Yau, Jeng-Bang
  • Zhang, Eric
  • Webb, Bucknell

Abstract

Techniques for selectively tuning the operating frequency of superconducting Josephson junction resonators are provided which include chemically altering a Josephson junction (110) via a plasma treatment, preferably by masked exposure of a transmon qubit die (104) to plasma (502) including reactants such as hydrogen or oxygen. In particular, the plasma treatment adjusts an electrical resistance of the Josephson junction. An apparatus for carrying out the plasma treatment is also disclosed.

IPC Classes  ?

  • H10N 60/01 - Manufacture or treatment
  • G06N 10/40 - Physical realisations or architectures of quantum processors or components for manipulating qubits, e.g. qubit coupling or qubit control
  • H10N 60/12 - Josephson-effect devices
  • H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment

49.

DRIFT REGULARIZATION TO COUNTERACT VARIATION IN DRIFT COEFFICIENTS FOR ANALOG ACCELERATORS

      
Document Number 03176177
Status Pending
Filing Date 2021-06-04
Open to Public Date 2021-12-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Tsai, Hsinyu
  • Kariyappa, Sanjay

Abstract

A drift regularization is provided to counteract variation in drift coefficients in analog neural networks. A method of training an artificial neural network is illustrated. A plurality of weights is randomly initialized. Each of the plurality of weights corresponds to a synapse of an artificial neural network. At least one array of inputs is inputted to the artificial neural network. At least one array of outputs is determined by the artificial neural network based on the at least one array of inputs and the plurality of weights. The at least one array of outputs is compared to ground truth data to determine a first loss. A second loss is determined by adding a drift regularization to the first loss. The drift regularization is positively correlated to variance of the at least one array of outputs. The plurality of weights is updated based on the second loss by backpropagation.

IPC Classes  ?

  • G06N 3/084 - Backpropagation, e.g. using gradient descent
  • G06V 10/82 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
  • G06N 3/082 - Learning methods modifying the architecture, e.g. adding, deleting or silencing nodes or connections
  • G06N 3/04 - Architecture, e.g. interconnection topology
  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means

50.

LAYERED HYBRID QUANTUM ARCHITECTURE FOR QUANTUM COMPUTING APPLICATIONS

      
Document Number 03176185
Status Pending
Filing Date 2021-06-15
Open to Public Date 2021-12-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gumann, Patryk
  • Cross, Andrew
  • Hart, Sean
  • Gambetta, Jay

Abstract

A quantum system includes a qubit array comprising a plurality of qubits. A bus resonator is coupled between at least one pair of qubits in the qubit array. A switch is coupled between the at least one qubit pair of qubits.

IPC Classes  ?

  • G06N 10/00 - Quantum computing, i.e. information processing based on quantum-mechanical phenomena

51.

SLOPED EPITAXY BURIED CONTACT

      
Document Number 03180862
Status Pending
Filing Date 2021-06-04
Open to Public Date 2021-12-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Li, Tao
  • Kang, Tsung-Sheng
  • Xie, Ruilong
  • Reznicek, Alexander
  • Gluschenkov, Oleg

Abstract

Semiconductor device designs having a buried power rail (602) with a sloped epitaxy buried contact (1702) are provided. In one aspect, a semiconductor FET device includes: at least one gate disposed on a substrate (202); source and drains (906) on opposite sides of the at least one gate, wherein at least one of the source and drains (906) has a sloped surface (1402); a buried power rail (602) embedded in the substrate (202); and a buried contact (1702) that connects the buried power rail (602) to the sloped surface (1402) of the at least one source and drain (906). Sidewall spacers (502) separate the buried power rail (602) from the substrate (202). A top of the sloped surface (1402) of the at least one source and drain (906) is above a top surface of the buried contact (1702).Methods of forming a semiconductor FET device are also provided.

IPC Classes  ?

  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

52.

TARGETED PARTIAL RE-ENRICHMENT OF A CORPUS BASED ON NLP MODEL ENHANCEMENTS

      
Document Number 03180879
Status Pending
Filing Date 2021-06-09
Open to Public Date 2021-12-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Carrier, Scott
  • Bull, Brendan
  • Felt, Paul Lewis
  • Mansjur, Dwi Sianto

Abstract

A computer-implemented method include determining that at least one natural language processing (NLP) request is associated with at least one surface form, the NLP request being for a corpus, a database comprising preexisting annotations associated with the corpus. An index query related to the at least one surface form is performed to generate index query results, the index query results including identification of portions of the corpus affected by the NLP request. A scope of the NLP request related to the database is determined based on the index query results, the scope including identification of impacted candidate annotations of the preexisting annotations affected by the NLP request. An NLP service is performed on the corpus according to the scope and the portions, thereby resulting in updates. The updates are committed to the database associated with the corpus.

IPC Classes  ?

53.

POWER-PERFORMANCE BASED SYSTEM MANAGEMENT

      
Document Number 03176180
Status Pending
Filing Date 2021-06-16
Open to Public Date 2021-12-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Liu, Yang
  • Xu, Yue
  • Gou, Peng Fei
  • Li, Meng
  • Zhao, Xing

Abstract

A method comprises receiving a workload for a computer system; sweeping at least one parameter of the computer system while executing the workload; monitoring one or more characteristics of the computer system while sweeping the at least one parameter, the one or more characteristics including total power consumption of the computer system; generating a power profile for the workload that indicates a respective selected value for the at least one parameter based on analysis of the monitored total power consumption of the computer system while sweeping the at least one parameter, and executing the workload based on the respective selected value of the at least one parameter.

IPC Classes  ?

  • G06F 11/34 - Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation

54.

PHASE CHANGE DEVICE

      
Document Number 03176172
Status Pending
Filing Date 2021-06-10
Open to Public Date 2021-12-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Li, Ning
  • Sadana, Devendra K.

Abstract

A phase change device (PCD) has a first and second semiconductor layer. The first semiconductor layer made of a first semiconductor material and has a first semiconductor thickness, a first interface surface, and a first electrode surface. The first interface surface and first electrode surface are on opposite sides of the first semiconductor layer. The first semiconductor material can transition between a first amorphous state and a first crystalline state at one or more first conditions. The second semiconductor layer is made of a second semiconductor material and has a second semiconductor thickness, a second interface surface, and a second electrode surface. The second interface surface and second electrode surface are on opposite sides of the second semiconductor layer. The first interface surface and the second interface surface are in electrical, physical, and chemical contact with one another at an interface. The second semiconductor material can transition between a second amorphous state and a second crystalline state at one or more second conditions. A first electrode in physical and electrical contact with the first electrode surface of the first semiconductor layer and a second electrode in physical and electrical contact with the second electrode surface of the second semiconductor layer. The first conditions and second conditions are different. Therefore, the first and second semiconductor materials can be in different amorphous and/or crystalline states. The layers can have split amorphous/crystalline states. By controlling how the layers are split, the PCD can be in different resistive states.

IPC Classes  ?

  • H10N 97/00 - Electric solid-state thin-film or thick-film devices, not otherwise provided for

55.

PREVENTING UNAUTHORIZED PACKAGE DEPLOYMENT IN CLUSTERS

      
Document Number 03180848
Status Pending
Filing Date 2021-05-20
Open to Public Date 2021-12-20
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gajananan, Kugamoorthy
  • Kitahara, Hirokuni
  • Watanabe, Yuji
  • Kudo, Ruriko

Abstract

A method for checking an integrity of an object to be deployed to a cluster is provided. The method detects a resource creation request. The method, responsive to the request being an initial resource creation request for the object, verifies the integrity of the object based on properties in the request to create a release secret in the cluster for a positive integrity verification result for the object. The release secret represents a specific deployment configuration of the object on the cluster. The method, responsive to the request being other than the initial resource request, checks if the request corresponds to the specific deployment configuration of the object by checking against the release secret in the cluster. The method, responsive to the request corresponding to a deployment of the object and the release secret being present in the cluster, creates a resource requested by the request in the cluster.

IPC Classes  ?

  • G06F 9/52 - Program synchronisation; Mutual exclusion, e.g. by means of semaphores

56.

GENERATING A HYBRID SENSOR TO COMPENSATE FOR INTRUSIVE SAMPLING

      
Document Number 03178043
Status Pending
Filing Date 2021-05-14
Open to Public Date 2021-12-16
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Zhou, Nianjun
  • Subramanian, Dharmashankar
  • Gifford, Wesley M.

Abstract

A hybrid sensor can be generated by training a machine learning model, such as a neural network, based on a training data set. The training data set can include a first time series of upstream sensor data (504, 514, 702, 802) having forward dependence to a target variable (108, 110, 112, 604, 708, 804), a second time series of downstream sensor data (508, 518, 704, 806) having backward dependence to the target variable (108, 110, 112, 604, 708, 804) and a time series of measured target variable (108, 110, 112, 604, 708, 804) data associated with the target variable (108, 110, 112, 604, 708, 804). The target variable (108, 110, 112, 604, 708, 804) has measuring frequency which is lower than the measuring frequencies associated with the upstream sensor data (504, 514, 70, 802) and the downstream sensor data (508, 518, 704, 806). The hybrid sensor can estimate a value of the target variable (108, 110, 112, 604, 708, 804) at a given time, for example, during which no actual measured target variable (108, 110, 112, 604, 708, 804) value is available.

IPC Classes  ?

57.

NANOSHEET GATED DIODE

      
Document Number 03180838
Status Pending
Filing Date 2021-06-02
Open to Public Date 2021-12-16
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Reznicek, Alexander
  • Hekmatshoartabari, Bahman
  • Balakrishnan, Karthik

Abstract

One or more gated nanosheet diodes are disposed on a substrate and made from a nanosheet structure. A first (second) source/drain (S/D) is disposed on the substrate. The first (second) S/D has a first (second) S/D doping concentration with a first (second) S/D doping type. One or more p-n junctions form one or more respective diodes. There is a first side and a second side of each of the p- n junctions. The first (second) sides of the p-n junctions electrically and physically connect to the first (second) S/Ds and have the same type of doping, respectively. A gate stack, made of a gate dielectric layer and a gate metal, interfaces and surrounds each of the p-n junctions.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/82 - Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
  • H01L 27/08 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind

58.

MULTI-RESONANT COUPLING ARCHITECTURES FOR ZZ INTERACTION REDUCTION

      
Document Number 03176170
Status Pending
Filing Date 2021-05-25
Open to Public Date 2021-12-16
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Mckay, David
  • Kandala, Abhinav
  • Srinivasan, Srikanth

Abstract

Systems and techniques that facilitate multi-resonant couplers for preserving ZX interaction while reducing ZZ interaction are provided. In various embodiments, a first qubit can have a first operational frequency and a second qubit can have a second operational frequency, and a multi-resonant architecture can couple the first qubit to the second qubit.

IPC Classes  ?

  • G06N 10/00 - Quantum computing, i.e. information processing based on quantum-mechanical phenomena
  • H03K 5/1252 - Suppression or limitation of noise or interference
  • H03K 17/92 - Electronic switching or gating, i.e. not by contact-making and -breaking characterised by the use of specified components by the use, as active elements, of superconductive devices

59.

APPLICATION TOPOLOGY DISCOVERY

      
Document Number 03174842
Status Pending
Filing Date 2021-04-20
Open to Public Date 2021-12-02
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Parthasarathy, Srinivasan
  • Hwang, Jinho
  • Wang, Qing
  • Shwartz, Larisa
  • Nidd, Michael
  • Bagehorn, Frank
  • Krchak, Jakub
  • Orumbayev, Altynbek
  • Mylek, Michal
  • Sandr, Ota
  • Ondrej, Tomas

Abstract

A computer implemented method for identifying an application topology includes identifying a sandbox environment corresponding to an application of interest, analyzing the sandbox environment to identify a set of communication links between services within the sandbox environment indicating a first topology, identifying a production system corresponding to the application of interest, querying the production system to identify a set of structural dependencies indicating a second topology, and creating a complete topology of the cloud application by combining the first topology and the second topology. A computer program product and computer system for identifying an application topology are additionally disclosed herein.

IPC Classes  ?

  • G06F 11/36 - Preventing errors by testing or debugging of software

60.

EFFICIENT TILE MAPPING FOR ROW-BY-ROW CONVOLUTIONAL NEURAL NETWORK MAPPING FOR ANALOG ARTIFICIAL INTELLIGENCE NETWORK INFERENCE

      
Document Number 03178030
Status Pending
Filing Date 2021-05-13
Open to Public Date 2021-12-02
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Tsai, Hsinyu
  • Burr, Geoffrey
  • Narayanan, Pritish

Abstract

Implementing a convolutional neural network (CNN) includes configuring a crosspoint array to implement a convolution layer in the CNN. Convolution kernels of the layer are stored in crosspoint devices of the array. Computations for the CNN are performed by iterating a set of operations for a predetermined number of times. The operations include transmitting voltage pulses corresponding to a subpart of a vector of input data to the crosspoint array. The voltage pulses generate electric currents that are representative of performing multiplication operations at the crosspoint device based on weight values stored at the crosspoint devices. A set of integrators accumulates an electric charge based on the output electric currents from the respective crosspoint devices. The crosspoint array outputs the accumulated charge after iterating for the predetermined number of times. The accumulated charge represents a multiply-add result of the vector of input data and the one or more convolution kernels.

IPC Classes  ?

  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G06N 3/0464 - Convolutional networks [CNN, ConvNet]
  • G06N 3/084 - Backpropagation, e.g. using gradient descent

61.

NANOSHEET TRANSISTOR WITH ASYMMETRIC GATE STACK

      
Document Number 03176383
Status Pending
Filing Date 2021-04-30
Open to Public Date 2021-11-25
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Xie, Ruilong
  • Radens, Carl
  • Cheng, Kangguo
  • Li, Juntao
  • Guo, Dechao
  • Li, Tao
  • Kang, Tsung-Sheng

Abstract

Methods and resulting structures for nanosheet devices having asymmetric gate stacks are disclosed. A nanosheet stack (102) is formed over a substrate (104). The nanosheet stack (102) includes alternating semiconductor layers (108) and sacrificial layers (110). A sacrificial liner (202) is formed over the nanosheet stack (102) and a dielectric gate structure (204) is formed over the nanosheet stack (102) and the sacrificial liner (202). A first inner spacer (302) is formed on a sidewall of the sacrificial layers (110). A gate (112) is formed over channel regions of the nanosheet stack (102). The gate (112) includes a conductive bridge that extends over the substrate (104) in a direction orthogonal to the nanosheet stack (102). A second inner spacer (902) is formed on a sidewall of the gate (112). The first inner spacer (302) is formed prior to the gate (112) stack, while the second inner spacer (902) is formed after, and consequently, the gate (112) stack is asymmetrical.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 21/336 - Field-effect transistors with an insulated gate

62.

UNSUPERVISED TEXT SUMMARIZATION WITH REINFORCEMENT LEARNING

      
Document Number 03178026
Status Pending
Filing Date 2021-05-13
Open to Public Date 2021-11-25
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Kohita, Ryosuke
  • Wachi, Akifumi

Abstract

A computer-implemented method is presented for performing Q-learning with language model for unsupervised text summarization. The method includes mapping each word of a sentence into a vector by using word embedding via a deep learning natural language processing model, assigning each of the words to an action and operation status, determining, for each of the words whose operation status represents "unoperated," a status by calculating a local encoding and a global encoding, and concatenating the local encoding and the global encoding, the local encoding calculated based on a vector, an action, and an operation status of the word, and the global encoding calculated based on each of the local encodings of the words in a self-attention fashion, and determining, via an editorial agent, a Q-value for each of the words in terms of each of three actions based on the status.

IPC Classes  ?

  • G06F 16/2457 - Query processing with adaptation to user needs

63.

DYNAMIC AUTOMATION OF SELECTION OF PIPELINE ARTIFACTS

      
Document Number 03174819
Status Pending
Filing Date 2021-05-18
Open to Public Date 2021-11-25
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Hwang, Jinho
  • Bulut, Muhammed Fatih
  • Kanso, Ali
  • Nadgowda, Shripad

Abstract

An artificial intelligence (AI) platform to support a continuous integration and deployment (CI/CD) pipeline for software development and operations (DevOps). One or more dependency graphs are generated based on application artifacts. A machine learning (ML) model is leveraged to capture a relationship between components in the dependency graph (s) and one or more pipeline artifacts. Responsive a change of an application artifact, the captured relationship is leveraged to identify an impact of the detected change on the pipeline artifact (s). The CI/CD pipeline is selectively optimized and executed based on the identified impact to improve the efficiency of the pipeline and the deployment time.

IPC Classes  ?

64.

MATRIX SKETCHING USING ANALOG CROSSBAR ARCHITECTURES

      
Document Number 03174813
Status Pending
Filing Date 2021-04-13
Open to Public Date 2021-11-18
Owner
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • RAMOT AT TEL-AVIV UNIVERSITY LTD. (Israel)
Inventor
  • Horesh, Lior
  • Onen, Oguzhan
  • Avron, Haim
  • Gokmen, Tayfun
  • Kalantzis, Vasileios
  • Ubaru, Shashanka

Abstract

A computer-implemented method is presented for performing matrix sketching by employing an analog crossbar architecture. The method includes low rank updating a first matrix for a first period of time, copying the first matrix into a dynamic correction computing device, switching to a second matrix to low rank update the second matrix for a second period of time, as the second matrix is low rank updated, feeding the first matrix with first stochastic pulses to reset the first matrix back to a first matrix symmetry point, copying the second matrix into the dynamic correction computing device, switching back to the first matrix to low rank update the first matrix for a third period of time, and as the first matrix is low rank updated, feeding the second matrix with second stochastic pulses to reset the second matrix back to a second matrix symmetry point.

IPC Classes  ?

  • G06G 5/00 - Devices in which the computing operation is performed by means of fluid-pressure elements

65.

OPTIMIZING CAPACITY AND LEARNING OF WEIGHTED REAL-VALUED LOGIC

      
Document Number 03174744
Status Pending
Filing Date 2021-03-18
Open to Public Date 2021-11-18
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Luus, Francois
  • Riegel, Ryan
  • Akhalwaya, Ismail Yunus
  • Khan, Naweed Aghmad
  • Vos, Etienne
  • Makondo, Ndivhuwo

Abstract

Maximum expressivity can be received representing a ratio between maximum and minimum input weights to a neuron of a neural network implementing a weighted real-valued logic gate. Operator arity can be received associated with the neuron. Logical constraints associated with the weighted real-valued logic gate can be determined in terms of weights associated with inputs to the neuron, a threshold-of-truth, and a neuron threshold for activation. The threshold-of-truth can be determined as a parameter used in an activation function of the neuron, based on solving an activation optimization formulated based on the logical constraints, the activation optimization maximizing a product of expressivity representing a distribution width of input weights to the neuron and gradient quality for the neuron given the operator arity and the maximum expressivity. The neural network of logical neurons can be trained using the activation function at the neuron, the activation function using the determined threshold-of-truth.

IPC Classes  ?

66.

OPTIMIZING CONTROL ACTIONS OF A CONTROL SYSTEM VIA AUTOMATIC DIMENSIONALITY REDUCTION OF A MATHEMATICAL REPRESENTATION OF THE CONTROL SYSTEM

      
Document Number 03174730
Status Pending
Filing Date 2021-04-21
Open to Public Date 2021-11-18
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor Zadorojniy, Alexander

Abstract

A method for automatically reducing the dimensionality of a mathematical representation of a controlled application system is provided. The method includes receiving, at a control system, data corresponding to control action and system state variables relating to the controlled application system, fitting a constrained reinforcement learning (CRL) model to the controlled application system based on the data, and automatically identifying a subset of the system state variables by selecting control action variables of interest and identifying system state variables that drive the CRL model to recommend each control action variable of interest. The method also includes automatically performing state space dimensionality reduction of the CRL model using the subset of system state variables, estimating a transition probability matrix for a constrained Markov decision process (CMDP) model of the controlled application system, and formulating the CMDP model as a linear programming (LP) problem using the transition probability matrix and several costs.

IPC Classes  ?

  • G05B 19/418 - Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control (DNC), flexible manufacturing systems (FMS), integrated manufacturing systems (IMS), computer integrated manufacturing (CIM)

67.

PREDICTION OF PERFORMANCE DEGRADATION WITH NON-LINEAR CHARACTERISTICS

      
Document Number 03175610
Status Pending
Filing Date 2021-05-05
Open to Public Date 2021-11-18
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Kordjazi, Neda
  • Saliu, Moshood Omolade

Abstract

Described are techniques for predicting gradual performance degradation with non-linear characteristics. The techniques including a method comprising inputting a new data sample to a failure prediction model, wherein the failure prediction model is trained using a labeled historical dataset, wherein respective data points are associated with a look-back window and a prediction horizon to create respective training samples, wherein the respective training samples are clustered in a plurality of clusters, and wherein the plurality of clusters are each associated with a normalcy score and an anomaly score. The method further comprises outputting a classification associated with the new data sample based on comparing a first anomaly score of a first cluster of the plurality of clusters that includes the new data sample to an average anomaly score of clusters of the plurality of clusters having the normalcy score greater than the anomaly score.

IPC Classes  ?

68.

OPTIMIZED DEPLOYMENT OF ANALYTIC MODELS IN AN EDGE TOPOLOGY

      
Document Number 03175617
Status Pending
Filing Date 2021-04-28
Open to Public Date 2021-11-18
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Pinel, Florian
  • Bobbitt, Russell Patrick
  • Byron, Donna

Abstract

Provided are techniques for optimized deployment of analytic models in an edge topology. A description of a multi-tiered edge topology with a plurality of nodes, a multimedia stream analytics composition, and performance objectives are received, where the multimedia stream analytics composition includes tasks that use analytic models. The analytic models are optimized and clustered to form clusters of optimized analytic models. A representative optimized analytic model is selected from each of the clusters. A configuration recommendation is determined that indicates deployment of the tasks and of each selected representative optimized analytic model on the plurality of nodes to meet the performance objectives. One or more workflows are generated from the configuration recommendation and executed on the plurality of nodes to generate output for the multimedia stream analytics composition.

IPC Classes  ?

  • G06F 30/20 - Design optimisation, verification or simulation

69.

CROSS-NETWORK IDENTITY PROVISIONING

      
Document Number 03175619
Status Pending
Filing Date 2021-05-10
Open to Public Date 2021-11-18
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Novotny, Petr
  • Olson, Timothy
  • Ramakrishna, Venkatraman
  • Gaur, Nitin

Abstract

An example operation includes one or more of connecting, by an identity provisioning node, a blockchain one to a blockchain two, creating, by an identity provisioning node, an interoperation identity network (IIN) for the blockchain one and for the blockchain two as an instance of a self-sovereign identity (SSI) network, executing a smart contract to: invoke an IIN access control policy, map attributes and permissions of the blockchain one to attributes and permissions of the blockchain two based on the IIN access control policy, and generate a valid verifiable credential (VC) of the IIN in the blockchain one and in the blockchain two based on the mapped attributes and the permissions.

IPC Classes  ?

  • G06F 16/27 - Replication, distribution or synchronisation of data between databases or within a distributed database system; Distributed database system architectures therefor
  • G06F 16/23 - Updating

70.

PROTECTING COMPUTER ASSETS FROM MALICIOUS ATTACKS

      
Document Number 03176367
Status Pending
Filing Date 2021-05-11
Open to Public Date 2021-11-18
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Paquin, Adam
  • Duncan, Peyton
  • Shen, Kevin
  • Bees, Johathan
  • Tummalapenta, Srinivas Babu

Abstract

A method selectively installs a particular signature on a particular gateway based on the type of signature and the type of computer asset that is protected by that particular gateway. A system and/or analyst receives multiple signatures, where different signatures from the multiple signatures are specific for different types of computer assets. The system and/or analyst identifies and extracts a particular signature, from the multiple signatures, that will protect, if implemented on the appropriate gateway, a particular computer asset. The system and/or analyst identifies the appropriate gateway that protects the particular computer asset, and installs only the extracted particular signature from the multiple signatures on that appropriate gateway.

IPC Classes  ?

  • G06F 21/55 - Detecting local intrusion or implementing counter-measures
  • G06F 21/57 - Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
  • G06N 3/045 - Combinations of networks
  • G06N 3/08 - Learning methods

71.

FIRST-ORDER LOGICAL NEURAL NETWORKS WITH BIDIRECTIONAL INFERENCE

      
Document Number 03174755
Status Pending
Filing Date 2021-04-13
Open to Public Date 2021-11-18
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Riegel, Ryan
  • Luus, Francois
  • Akhalwaya, Ismail Yunus
  • Khan, Naweed Aghmad
  • Makondo, Ndivhuwo
  • Barahona, Francisco
  • Gray, Alexander

Abstract

A system for configuring and using a logical neural network including a graph syntax tree of formulae in a represented knowledgebase connected to each other via nodes representing each proposition. One neuron exists for each logical connective occurring in each formula and, additionally, one neuron for each unique proposition occurring in any formula. All neurons return pairs of values representing upper and lower bounds on truth values of their corresponding subformulae and propositions. Neurons corresponding to logical connectives accept as input the output of neurons corresponding to their operands and have activation functions configured to match the connectives' truth functions. Neurons corresponding to propositions accept as input the output of neurons established as proofs of bounds on the propositions' truth values and have activation functions configured to aggregate the tightest such bounds. Bidirectional inference permits every occurrence of each proposition in each formula to be used as a potential proof.

IPC Classes  ?

  • G06N 3/042 - Knowledge-based neural networks; Logical representations of neural networks
  • G06N 5/046 - Forward inferencing; Production systems
  • G06N 3/08 - Learning methods
  • G06N 5/04 - Inference or reasoning models

72.

WRITE SORT MANAGEMENT IN DATA STORAGE SYSTEM

      
Document Number 03176373
Status Pending
Filing Date 2021-05-05
Open to Public Date 2021-11-18
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Hatfield, Brian
  • Gupta, Lokesh Mohan
  • Borlick, Matthew

Abstract

In one method of write sort management, a write sort task related to write sorting a write list of data units to be destaged to storage, is assigned to a storage controller to improve the load balance among plural storage controllers. The available processing capacities of each of the storage controllers is determined by, for example, polling each of the storage controllers. A write sort task may then be assigned to a selected storage controller as a function of determined available processing capacities of each of the storage controllers to improve the load balance among the storage controllers.

IPC Classes  ?

  • G06F 11/14 - Error detection or correction of the data by redundancy in operation, e.g. by using different operation sequences leading to the same result

73.

FABRICATION OF SEMICONDUCTOR STRUCTURES

      
Document Number 03165991
Status Pending
Filing Date 2021-04-20
Open to Public Date 2021-11-11
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Trivino, Noelia Vico
  • Moselund, Kirsten Emilie
  • Scherrer, Markus

Abstract

A method for fabricating a semiconductor structure. The method comprises fabricating a photonic crystal structure (113) of a first material, in particular a first semiconductor material and selectively removing the first material within a predefined part of the photonic crystal structure (113). The method further comprises replacing the first material within the predefined part of the photonic crystal structure (113) with one or more second materials by selective epitaxy. The one or more second materials may be in particular semiconductor materials. A device is obtained by such a method.

IPC Classes  ?

  • H01L 33/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details thereof

74.

SECURE DATA REPLICATION IN DISTRIBUTED DATA STORAGE ENVIRONMENTS

      
Document Number 03173093
Status Pending
Filing Date 2021-04-27
Open to Public Date 2021-11-11
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Sofia, Anthony Thomas
  • Katonica, Jason G.
  • Balta, Trent Matthew
  • Cohoon, Michael Terrence
  • Reilly, Torin

Abstract

A described method includes receiving, by a database system, an instruction to change a first data element in a table in a database, which includes a first copy and a second copy of the table. A first entry is created in a first change-table. The first entry includes an updated value for a first data element. A second entry is created in a second change-table. Creating the second entry includes, changing the updated value into a ciphertext if the first data element is secured, and storing the ciphertext into the second entry. If the first data element is non-secured, the updated value is stored into the second entry as is. The second copy of the table is modified using the second change-table. The second copy of the table is used to respond to subsequent queries.

IPC Classes  ?

  • G06F 16/27 - Replication, distribution or synchronisation of data between databases or within a distributed database system; Distributed database system architectures therefor

75.

REAL-TIME DETECTION AND CORRECTION OF SHADOWING IN HYPERSPECTRAL RETINAL IMAGES

      
Document Number 03167949
Status Pending
Filing Date 2021-04-28
Open to Public Date 2021-11-11
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Maetschke, Stefan
  • Faux, Noel

Abstract

A method for real-time detection and correction of shadowing in hyperspectral retinal images may include capturing receiving, using a processor, a hyperspectral image of a retina of a patient, detecting, by the processor, a shadow in the hyperspectral image, determining, by the processor that the shadow of the hyperspectral image exceeds a threshold, and in response to determining that the shadow of the hyperspectral image exceeds the threshold, initiating, using the processor, a capture of an additional hyperspectral image of the retina of the patient. Various other methods, systems, and computer-readable media are also disclosed.

IPC Classes  ?

  • A61B 3/12 - Objective types, i.e. instruments for examining the eyes independent of the patients perceptions or reactions for looking at the eye fundus, e.g. ophthalmoscopes
  • G06T 7/00 - Image analysis

76.

QUANTUM COMPUTING MACHINE LEARNING FOR SECURITY THREATS

      
Document Number 03167954
Status Pending
Filing Date 2021-04-15
Open to Public Date 2021-11-11
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor Ryver, Kelly Nicole

Abstract

Embodiments are disclosed for a method for a security model. The method includes generating a Bloch sphere based on a system information and event management (SIEM) of a security domain and a structured threat information expression trusted automated exchange of indicator information. The method also includes generating a quantum state probabilities matrix based on the Bloch sphere. Further, the method includes training a security threat model to perform security threat classifications based on the quantum state probabilities matrix. Additionally, the method includes performing a machine learning classification of the security domain based on the quantum state probabilities matrix.

IPC Classes  ?

  • H04L 9/08 - Key distribution
  • G06F 21/57 - Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
  • G06N 20/00 - Machine learning

77.

FENCING NON-RESPONDING PORTS IN A NETWORK FABRIC

      
Document Number 03167963
Status Pending
Filing Date 2021-04-27
Open to Public Date 2021-11-11
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gavrilov, Constantine
  • Koren, Eli

Abstract

A computer-implemented method according to one aspect includes determining whether an operating system of a node of a distributed computing environment is functioning correctly by sending a first management query to the node; in response to determining that the operating system of the node is not functioning correctly, determining whether the node has an active communication link by sending a second management query to ports associated with the node; and in response to determining that the node has an active communication link, resetting the active communication link for the node by sending a reset request to the ports associated with the node.

IPC Classes  ?

  • H04L 41/0659 - Management of faults, events, alarms or notifications using network fault recovery by isolating or reconfiguring faulty entities

78.

UTILIZING COHERENTLY ATTACHED INTERFACES IN A NETWORK STACK FRAMEWORK

      
Document Number 03173088
Status Pending
Filing Date 2021-04-30
Open to Public Date 2021-11-11
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Syrivelis, Dimitrios
  • Reale, Andrea

Abstract

Embodiments for implementing an enhanced network stack framework in a computing environment. A plurality of network buffers coherently attached between one or more applications and a network interface may be shared while bypassing one or more drivers and an operating systems using an application buffer, a circular buffer and a queuing and pooling operation.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 13/20 - Handling requests for interconnection or transfer for access to input/output bus

79.

EFFICIENT QUANTUM ADAPTIVE EXECUTION METHOD FOR QUANTUM CIRCUITS

      
Document Number 03173081
Status Pending
Filing Date 2021-04-19
Open to Public Date 2021-11-04
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gambetta, Jay
  • Faro Sertage, Ismael
  • Martin Fernandez, Francisco

Abstract

Disclosed are systems and methods that can facilitate a quantum adaptive execution method based on previous quantum circuits and intermediate results. This can generate an optimized adaptive compilation methodology for a specific backend and the previous quantum circuits dependents and redirect by the job dispatcher to the right quantum backend. Some of the quantum circuits can be dependent on other quantum circuits based on the intermediate results produced by previous circuits. The system can manage the optimization of circuits based on its dependencies and by the results generated by the previous quantum circuits. In this way, the system can get an optimal result for a quantum circuit and inject it to the compiler unit to generate an adaptive compilation result. The resulting post-processing unit is the one in charge to apply this logic and manage the input/output of data to push it in the compiler units and the job dispatcher.

IPC Classes  ?

  • G06N 3/10 - Interfaces, programming languages or software development kits, e.g. for simulating neural networks
  • G06F 8/41 - Compilation
  • G06N 10/00 - Quantum computing, i.e. information processing based on quantum-mechanical phenomena
  • G06F 9/44 - Arrangements for executing specific programs

80.

DYNAMICALLY GENERATING FACETS USING GRAPH PARTITIONING

      
Document Number 03165987
Status Pending
Filing Date 2021-03-17
Open to Public Date 2021-10-28
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Rivlin, Or
  • Mass, Yosi
  • Roitman, Haggai
  • Konopnicki, David

Abstract

An example system includes a processor to receive concepts extracted from a result set corresponding to a query and result associations for each extracted concept. The processor is to build a graph based on the extracted concepts, wherein the graph comprises a number of nodes representing the extracted concepts and weighted edges representing similarity between concepts extracted from shared results. The processor is to partition the graph into subgraphs with vertices corresponding to candidate facets for vertices having higher sums of weighted edges. The processor is to rank the candidate facets. The processor is to select higher ranked candidate facets to use as facets. The processor is to output facets with a result set in response to the query.

IPC Classes  ?

81.

GENERATION OF MICROSERVICES FROM A MONOLITHIC APPLICATION BASED ON RUNTIME TRACES

      
Document Number 03173078
Status Pending
Filing Date 2021-03-24
Open to Public Date 2021-10-28
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Xiao, Jin
  • Kalia, Anup
  • Lin, Chin
  • Batta, Raghav
  • Sinha, Saurabh
  • Rofrano, John
  • Vukovic, Maja

Abstract

Systems, computer-implemented methods, and computer program products to facilitate generation of microservices from a monolithic application based on runtime traces are provided. According to an embodiment, a system can comprise a memory that stores computer executable components and a processor that executes the computer executable components stored in the memory. The computer executable components can comprise a model component that learns cluster assignments of classes in a monolithic application based on runtime traces of executed test cases. The computer executable components can further comprise a cluster component that employs the model component to generate clusters of the classes based on the cluster assignments to identify one or more microservices of the monolithic application.

IPC Classes  ?

  • G06F 11/30 - Monitoring
  • G06F 9/44 - Arrangements for executing specific programs
  • G06F 11/36 - Preventing errors by testing or debugging of software

82.

THERMAL INTERFACE MATERIAL STRUCTURES FOR DIRECTING HEAT IN A THREE-DIMENSIONAL SPACE

      
Document Number 03165971
Status Pending
Filing Date 2021-03-19
Open to Public Date 2021-10-21
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Hoffmeyer, Mark
  • Marroquin, Christopher
  • Campbell, Eric
  • Czaplewski-Campbell, Sarah
  • Mann, Phillip

Abstract

A thermal interface material (TIM) structure for directing heat in a three-dimensional space including a TIM sheet (100). The TIM sheet (100) includes a lower portion (102) along a lower plane;a first side portion along a first side plane;a first upper portion along an upper plane;a first fold between the lower portion (102) and the first side portion positioning the first side portion substantially perpendicular to the lower portion (102); and a second fold between the first side portion and the first upper portion positioning the first upper portion on substantially perpendicular to the first side portion and substantially parallel to the lower portion (102).

IPC Classes  ?

83.

PIERCED THERMAL INTERFACE CONSTRUCTIONS

      
Document Number 03165976
Status Pending
Filing Date 2021-03-19
Open to Public Date 2021-10-21
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor Hoffmeyer, Mark

Abstract

Pierced thermal interface constructions including a thermal interface material (TIM) structure comprising: a TIM sheet comprising a plurality of piercings, where each of the plurality of piercings comprises a cavity and displaced material, and where the displaced material from each of the plurality of piercings protrudes away from the TIM sheet.

IPC Classes  ?

  • H05K 7/20 - Modifications to facilitate cooling, ventilating, or heating

84.

DYNAMIC DISCOVERY AND CORRECTION OF DATA QUALITY ISSUES

      
Document Number 03165983
Status Pending
Filing Date 2021-04-07
Open to Public Date 2021-10-21
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Shrivastava, Shrey
  • Bhamidipaty, Anuradha
  • Patel, Dhavalkumar

Abstract

A computing device, method, and system are provided of improving data quality to conserve computational resources. The computing device receives a raw dataset. One or more data quality metric goals corresponding to the received raw dataset are received. A schema of the dataset is determined. An initial set of validation nodes is identified based on the schema of the dataset. The initial set of validation nodes are executed. A next set of validation nodes are iteratively expanded and executed based on the schema of the dataset until a termination criterion is reached. A corrected dataset of the raw dataset is provided based on the iterative execution of the initial and next set of validation nodes.

IPC Classes  ?

85.

FASTER VIEW CHANGE FOR BLOCKCHAIN

      
Document Number 03171999
Status Pending
Filing Date 2021-04-13
Open to Public Date 2021-10-21
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Manevich, Yacov
  • Barger, Artem
  • Meir, Hagar
  • Tock, Yoav

Abstract

A method of a primary peer is disclosed, comprising: receiving view change messages which request a view change from a previous primary peer of a blockchain to the primary peer, identifying that a change to a state of the blockchain is in process with the previous primary peer based on metadata of the received view change messages; verifying that the change to the state of the blockchain corresponds to a latest change to the blockchain based on a received view data message; and transmitting a new view message to following peers which includes the in-process change to the state of the blockchain.

IPC Classes  ?

  • G06Q 20/40 - Authorisation, e.g. identification of payer or payee, verification of customer or shop credentials; Review and approval of payers, e.g. check of credit lines or negative lists
  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]

86.

DIFFERENTIAL MIXED SIGNAL MULTIPLIER WITH THREE CAPACITORS

      
Document Number 03171993
Status Pending
Filing Date 2021-03-01
Open to Public Date 2021-10-21
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Kim, Seyoung
  • Kang, Mingu
  • Kim, Kyu-Hyoun
  • Woo, Seonghoon

Abstract

A differential mixed-signal logic processor is provided?The differential mixed-signal logic processor includes a plurality of mixed-signal multiplier branches for multiplication of an analog value A and a N-bit digital value B?Each of plurality of mixed-signal multiplier branches include a first capacitor connected across a second capacitor and a third capacitor to provide a differential output across the second and third capacitors?A capacitance of the first capacitor is equal to half a capacitance of the second and third capacitors?

IPC Classes  ?

87.

GENERATING THREE-DIMENSIONAL SPIKES USING LOW-POWER COMPUTING HARDWARE

      
Document Number 03165964
Status Pending
Filing Date 2021-03-19
Open to Public Date 2021-10-14
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Asif, Umar
  • Roy, Subhrajit
  • Tang, Jianbin
  • Harrer, Stefan

Abstract

A method of generating three-dimensional (3D) spikes. The method comprising receiving a signal comprising time-series data and generating a first two- dimensional (2D) grid. Generating the first 2D grid comprises mapping segments of the time-series data to respective positions of the first 2D grid, and generating, for each position, a spike train corresponding to the respective mapped segment. The method further comprises generating a second 2D grid including performing, for each position, a mathematical operation on the spike train of the corresponding position of the first 2D grid. The method further comprises generating a third 2D grid including performing spatial filtering on the positions of the second 2D grid. The method further comprises generating a 3D grid based on a combination of the first 2D grid, the second 2D grid, and the third 2D grid. The 3D grid comprises one or more 3D spikes.

IPC Classes  ?

  • G06F 17/00 - Digital computing or data processing equipment or methods, specially adapted for specific functions
  • G06N 20/00 - Machine learning

88.

CONTEXTUAL INTEGRITY PRESERVATION

      
Document Number 03170286
Status Pending
Filing Date 2021-03-23
Open to Public Date 2021-10-14
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Gaur, Nitin
  • Ponceleon, Dulce B.
  • Katsis, Ioannis

Abstract

An example operation includes one or more of receiving, by a data processing node, inference data object from a multi-channel data server over a blockchain, sorting, by the data processing node, longitudinal records contained in the inference data object, linking, by the data processing node, transaction outcomes and inferences data from the inference data object to the sorted longitudinal records, and recording linked data onto a blockchain ledger. The data processing node serves as a validator of data from a robo-advisory using natural language (NL) processing to reduce bias and measure effectiveness of inference from the robo-advisory.

IPC Classes  ?

  • G06F 16/22 - Indexing; Data structures therefor; Storage structures
  • G06F 16/27 - Replication, distribution or synchronisation of data between databases or within a distributed database system; Distributed database system architectures therefor
  • G06N 20/00 - Machine learning

89.

GENERATING PERFORMANCE PREDICTIONS WITH UNCERTAINTY INTERVALS

      
Document Number 03170297
Status Pending
Filing Date 2021-02-16
Open to Public Date 2021-10-14
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Arnold, Matthew Richard
  • Elder, Benjamin Tyler
  • Navratil, Jiri
  • Venkataraman, Ganesh

Abstract

A technique for generating a performance prediction of a machine learning model with uncertainty intervals includes obtaining a first model configured to perform a task and a production dataset?At least one metric predicting a performance of the first model at performing the task on the production dataset is generated using a second model. The second model is a meta-model associated with the first model. At least one value predicting an uncertainty of the at least one metric predicting the performance of the first model at performing the task on the production dataset is generated using a third model. The third model is a meta-meta-model associated with the second model. An indication of the at least one metric predicting the performance of the first model and the at least one value predicting the uncertainty of the at least one metric is provided.

IPC Classes  ?

90.

DYNAMICALLY BALANCING INBOUND TRAFFIC IN A MULTI-NETWORK INTERFACE-ENABLED PROCESSING SYSTEM

      
Document Number 03171984
Status Pending
Filing Date 2021-03-04
Open to Public Date 2021-10-14
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Mericle, Grant
  • Fox, Michael Jon
  • Rau, Benjamin Thomas

Abstract

Examples described herein provide a computer-implemented method that includes registering at least one of a plurality of virtual internet protocol addresses (VIPAs) to each of a plurality of network adapters. The method further includes distributing, by each of the plurality of network adapters, inbound data among each of the plurality of network adapters using an address resolution protocol.

IPC Classes  ?

  • G06F 15/173 - Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star or snowflake

91.

NEURAL NETWORK WEIGHT DISTRIBUTION FROM A GRID OF MEMORY ELEMENTS

      
Document Number 03165563
Status Pending
Filing Date 2021-01-28
Open to Public Date 2021-10-14
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Sawada, Jun
  • Modha, Dharmendra
  • Cassidy, Andrew Stephen
  • Arthur, John Vernon
  • Nayak, Tapan
  • Ortega Otero, Carlos
  • Taba, Brian Seisho
  • Akopyan, Filipp
  • Datta, Pallab

Abstract

Neural inference chips for computing neural activations are provided. In various embodiments, a neural inference chip comprises at least one neural core, a memory array, an instruction buffer, and an instruction memory. The instruction buffer has a position corresponding to each of a plurality of elements of the memory array. The instruction memory provides at least one instruction to the instruction buffer. The instruction buffer advances the at least one instruction between positions in the instruction buffer. The instruction buffer provides the at least one instruction to at least one of the plurality of elements of the memory array from its associated position in the instruction buffer when the memory of the at least one of the plurality of elements contains data associated with the at least one instruction. Each element of the memory array provides a data block from its memory to its horizontal buffer in response to the arrival of an associated instruction from the instruction buffer. The horizontal buffer of each element of the memory array provides a data block to the horizontal buffer of another of the elements of the memory array or to the at least one neural core.

IPC Classes  ?

  • G06N 3/063 - Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
  • G06F 17/16 - Matrix or vector computation

92.

MULTI-VALUE PRIMARY KEYS FOR PLURALITY OF UNIQUE IDENTIFIERS OF ENTITIES

      
Document Number 03170205
Status Pending
Filing Date 2021-03-05
Open to Public Date 2021-10-07
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Bodziony, Michal
  • Filip, Marcin
  • Luczynski, Marcin
  • Zatorski, Tomasz
  • Laskawiec, Andrzej
  • Piatek, Monika
  • Studzienny, Lukasz

Abstract

A computer-implemented method for unambiguously identifying entities in a database system may be provided. The method comprises storing data items as records with different attributes in a table of a database, storing naming rules for selected combinations of the attributes of the data items, and prioritizing the naming rules. The method also comprises determining a hash value for each of the selected combinations of the attributes of the data items, and identifying duplicate data items using the determined hash values and the prioritized naming rules.

IPC Classes  ?

  • G06F 17/00 - Digital computing or data processing equipment or methods, specially adapted for specific functions

93.

HYBRID READOUT PACKAGE FOR QUANTUM MULTICHIP BONDING

      
Document Number 03165562
Status Pending
Filing Date 2021-03-10
Open to Public Date 2021-10-07
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Shao, Dongbing
  • Lewandowski, Eric
  • Bronn, Nicholas
  • Brink, Markus

Abstract

Systems and techniques that facilitate hybrid readout packaging for quantum multichip bonding are provided. In various embodiments, an interposer can have a first quantum chip and a second quantum chip. In various aspects, a readout resonator (e.g., input/output port) of one or more qubits on the first quantum chip can be routed to an inner portion of the interposer. In various instances, the inner portion can be located between the first quantum chip and the second quantum chip. In various aspects, routing the readout resonator to the inner portion can reduce a number of crossings and/or intersections between input/output lines on the interposer and connection buses between qubits on the interposer.

IPC Classes  ?

  • G06N 10/40 - Physical realisations or architectures of quantum processors or components for manipulating qubits, e.g. qubit coupling or qubit control
  • H10N 69/00 - Integrated devices, or assemblies of multiple devices, comprising at least one superconducting element covered by group
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H05K 1/16 - Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor

94.

OFFLOADING STATISTICS COLLECTION

      
Document Number 03167981
Status In Force
Filing Date 2021-02-24
Open to Public Date 2021-09-30
Grant Date 2023-12-19
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Butterstein, Dennis
  • Benke, Oliver
  • Bergmann, Tobias
  • Beier, Felix
  • Purcell, Terence

Abstract

Methods and systems for generating database statistics. Table statistics in a metadata catalog of a source database system are observed, statistics generation costs utilizing a target database system are estimated, and source statistics generation costs utilizing a source database system are estimated. The statistics generation costs are compared and statistics generation queries by the target database system are triggered in response to the statistics generation costs utilizing the target database system having a predefined relationship with the source statistics generation costs utilizing the source database system. The statistics generation queries are performed by the target database system in response to the triggering by the source database system. The generated statistics are sent from the target database system to the source database system, the table statistics in a metadata catalog are updated based on the generated statistics, and the updated table statistics are used to optimize a query plan.

IPC Classes  ?

  • G06F 16/38 - Retrieval characterised by using metadata, e.g. metadata not derived from the content or metadata generated manually

95.

MULTI-TERMINAL PHASE CHANGE MEMORY DEVICE

      
Document Number 03168067
Status Pending
Filing Date 2021-02-12
Open to Public Date 2021-09-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Philip, Timothy Matthew
  • Clevenger, Lawrence
  • Brew, Kevin

Abstract

A phase change memory device is provided. The phase change memory device includes a phase change memory material within an electrically insulating wall, a first heater terminal in the electrically insulating wall, and two read terminals in the electrically insulating wall.

IPC Classes  ?

  • G11C 13/00 - Digital stores characterised by the use of storage elements not covered by groups , , or

96.

REDUCING ATTACK SURFACE BY SELECTIVELY COLLOCATING APPLICATIONS ON HOST COMPUTERS

      
Document Number 03165559
Status Pending
Filing Date 2021-03-17
Open to Public Date 2021-09-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Le, Michael Vu
  • Jamjoom, Hani Talal
  • Molloy, Ian Michael

Abstract

Reducing attack surface by selectively collocating applications on host computers is provided. System resources utilized by each application running in a plurality of host computers of a data processing environment are measured. Which applications running in the plurality of host computers that utilize similar system resources are determined. Those applications utilizing similar system resources are collocated on respective host computers.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06F 21/57 - Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
  • G06F 9/4401 - Bootstrapping
  • H04L 41/04 - Network management architectures or arrangements

97.

MECHANICALLY STAMPED UNIQUE FEATURES FOR AUTHENTICITY AND PROVENANCE TRACKING

      
Document Number 03165557
Status Pending
Filing Date 2021-02-15
Open to Public Date 2021-09-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Lovchik, Robert Dean
  • Weiss, Jonas
  • Temiz, Yuksel
  • Delamarche, Emmanuel

Abstract

Technology for managing objects. A method is applied to a set of objects, for example, in view of commissioning such objects. The method includes patterning a surface of each object of a set of objects to be managed. The patterning is accomplished by using hard particles to make indentations in a surface of each object of the set of object, with the pattern formed on each object being a unique physical fingerprint that can be used to identify the object when performing various manage method(s) on the objects

IPC Classes  ?

  • G07D 7/20 - Testing patterns thereon
  • B42D 25/30 - Identification or security features, e.g. for preventing forgery
  • G07D 7/12 - Visible light, infrared or ultraviolet radiation

98.

OPTICAL SYNAPSES

      
Document Number 03165560
Status In Force
Filing Date 2021-02-15
Open to Public Date 2021-09-30
Grant Date 2023-10-24
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Abel, Stefan
  • Offrein, Bert Jan
  • La Porta, Antonio
  • Stark, Pascal

Abstract

An optical synapse comprises a memristive device for non-volatile storage of a synaptic weight dependent on resistance of the device, and an optical modulator for volatile modulation of optical transmission in a waveguide. The memristive device and optical modulator are connected in control circuitry which is operable, in a write mode, to supply a programming signal to the memristive device to program the synaptic weight and, in a read mode, to supply an electrical signal, dependent on the synaptic weight, to the optical modulator whereby the optical transmission is controlled in a volatile manner in dependence on programmed synaptic weight.

IPC Classes  ?

  • G11C 11/54 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using elements simulating biological cells, e.g. neuron

99.

OPERATING A SUPERCONDUCTING CHANNEL BY ELECTRON INJECTION

      
Document Number 03168081
Status Pending
Filing Date 2021-03-10
Open to Public Date 2021-09-30
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Fuhrer Janett, Andreas
  • Nichele, Fabrizio
  • Ritter, Markus
  • Riel, Heike

Abstract

The invention is notably directed to a method of operating a superconducting channel. The method relies on a device including: a potentially superconducting material; a gate electrode; and an electrically insulating medium. A channel is defined by the potentially superconducting material. The gate electrode positioned adjacent to the channel, such that an end surface of the gate electrode faces a portion of the channel. The electrically insulating medium is arranged in such a manner that it electrically insulates the gate electrode from the channel. Rendering the channel superconducting by cooling down the device. Next, a voltage difference is applied between the gate electrode and the channel to inject electrons in the channel through the electrically insulating medium and thereby generate a gate current between the gate electrode and the channel. The electrons are injected with an average energy sufficient to modify a critical current IC of the channel.

IPC Classes  ?

  • H10N 60/30 - Devices switchable between superconducting and normal states
  • H10N 60/84 - Switching means for devices switchable between superconducting and normal states
  • H10N 60/85 - Superconducting active materials

100.

STAGGERED STACKED VERTICAL CRYSTALLINE SEMICONDUCTING CHANNELS

      
Document Number 03165149
Status Pending
Filing Date 2021-02-17
Open to Public Date 2021-09-23
Owner INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
Inventor
  • Kang, Tsung-Sheng
  • Li, Tao
  • Rahman, Ardasheir
  • Joseph, Praveen
  • Seshadri, Indira
  • De Silva, Ekmini Anuja

Abstract

A semiconductor structure (100) includes a first semiconductor channel having a plurality of vertical nanowires (106) and a second semiconducting channel having a plurality of vertical nanowires (106). The first semiconducting channel and the second semiconducting channel are configured to be in a stacked configuration. The plurality of vertical nanowires (106) of the first semiconducting channel are configurated to be in alternating positions relative to the plurality of vertical nanowires (106) of the second semiconducting channel.

IPC Classes  ?

  • H01L 29/786 - Thin-film transistors
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  1     2     3     ...     6        Next Page