Advanced Micro Devices, Inc.

United States of America

Back to Profile

1-100 of 5,326 for Advanced Micro Devices, Inc. and 3 subsidiaries Sort by
Query
Excluding Subsidiaries
Aggregations Reset Report
IP Type
        Patent 5,179
        Trademark 147
Jurisdiction
        United States 3,903
        World 1,345
        Europe 44
        Canada 34
Owner / Subsidiary
[Owner] Advanced Micro Devices, Inc. 5,326
ATI Technologies ULC 518
ATI International, Srl 5
Advanced Micro Devices (Shanghai) Co., Ltd. 2
Date
New (last 4 weeks) 132
2024 April (MTD) 91
2024 March 47
2024 February 20
2024 January 58
See more
IPC Class
G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead 424
G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode 360
G06F 3/06 - Digital input from, or digital output to, record carriers 319
G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU] 265
G06T 1/20 - Processor architectures; Processor configuration, e.g. pipelining 239
See more
NICE Class
09 - Scientific and electric apparatus and instruments 144
42 - Scientific, technological and industrial services, research and design 14
16 - Paper, cardboard and goods made from these materials 7
28 - Games; toys; sports equipment 3
41 - Education, entertainment, sporting and cultural services 3
See more
Status
Pending 703
Registered / In Force 4,623
  1     2     3     ...     54        Next Page

1.

WIFI PACKET COALESCING

      
Application Number 18194311
Status Pending
Filing Date 2023-03-31
First Publication Date 2024-04-11
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Holla, Ashwini Chandrashekhara
  • Paul, Indrani
  • Branover, Alexander J.
  • Moreira, Carlos Javier

Abstract

The disclosed device for packet coalescing includes detecting a trigger condition for initiating packet coalescing of packet traffic and sending, to an endpoint device, a notification to start packet coalescing. The device can observe a status in response to starting the packet coalescing and report a performance of the packet coalescing. A system can include a controller that detects a trigger condition for packet coalescing and notifies an endpoint device via a notification register. The controller can read a status register to report, based on the read status, a packet coalescing performance. Various other methods, systems, and computer-readable media are also disclosed.

IPC Classes  ?

  • H04L 47/125 - Avoiding congestion; Recovering from congestion by balancing the load, e.g. traffic engineering
  • H04L 47/11 - Identifying congestion

2.

READ CLOCK START AND STOP FOR SYNCHRONOUS MEMORIES

      
Application Number 18390431
Status Pending
Filing Date 2023-12-20
First Publication Date 2024-04-11
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Nygren, Aaron John
  • Gopalakrishnan, Kathik
  • Liu, Tsun Ho

Abstract

A memory controller monitors memory command selected for dispatch to the memory and sends commands controlling a read clock state. A memory includes a read clock circuit and a mode register. The read clock circuit has an output for providing a hybrid read clock signal in response to a clock signal and a read clock mode signal. The read clock circuit provides the hybrid read clock signal as a free-running clock signal that toggles continuously, and as a strobe signal that is active only in response to the memory receiving a read command.

IPC Classes  ?

  • G11C 11/4076 - Timing circuits
  • G06F 1/08 - Clock generators with changeable or programmable clock frequency
  • G06F 1/10 - Distribution of clock signals
  • G06F 3/06 - Digital input from, or digital output to, record carriers

3.

COMMUNICATION REDUCTION TECHNIQUES FOR PARALLEL COMPUTING

      
Application Number 17958058
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-11
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • White, Laurent S.
  • Alsop, Johnathan
  • Dasika, Ganesh

Abstract

A physical system is simulated using a model including a plurality of elements in a mesh or grid. The elements are divided into partitions processed by different processing units. For some time steps, state data is transmitted between partitions and used to calculate flux data for updating the state of edge elements of the partitions. Periodically, transmission of state data is suppressed, and flux data is obtained by linear interpolation based on past flux data. Alternatively, flux data is obtained by processing state variables of an edge element and past flux data using a machine learning model, such as a DNN. Whether to suppress transmission of state data may be determined based on one or both of (a) uncertainty in an output of the machine learning model (e.g., Bayesian neural network) and (b) complexity of model of the physical system (e.g., spatial or temporal gradients).

IPC Classes  ?

  • G06F 30/23 - Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
  • G06F 30/27 - Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model

4.

ENHANCED PAGE INFORMATION CO-PROCESSOR

      
Application Number 18380954
Status Pending
Filing Date 2023-10-17
First Publication Date 2024-04-11
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Raasch, Steven
  • Kegel, Andrew G.

Abstract

A processing system includes a primary processor and a co-processor. The primary processor is couplable to a memory subsystem having at least one memory and operating to execute system software employing memory address translations based on one or more page tables stored in the memory subsystem. The co-processor is likewise couplable to the memory subsystem and operates to perform iterations of a page table walk through one or more page tables maintained for the memory subsystem and to perform one or more page management operations on behalf of the system software based the iterations of the page table walk. The page management operations performed by the co-processor include analytic data aggregation, free list management and page allocation, page migration management, page table error detection, and the like.

IPC Classes  ?

  • G06F 12/1009 - Address translation using page tables, e.g. page table structures
  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance
  • G06F 12/02 - Addressing or allocation; Relocation
  • G06F 12/0871 - Allocation or management of cache space
  • G06F 12/0882 - Page mode
  • G06F 12/1027 - Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]
  • G06F 12/123 - Replacement control using replacement algorithms with age lists, e.g. queue, most recently used [MRU] list or least recently used [LRU] list

5.

WIFI PACKET COALESCING

      
Application Number US2023076175
Publication Number 2024/077204
Status In Force
Filing Date 2023-10-06
Publication Date 2024-04-11
Owner
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventor
  • Holla, Ashwini Chandrashekhara
  • Paul, Indrani
  • Branover, Alexander J.
  • Moreira, Carlos Javier

Abstract

The disclosed device for packet coalescing includes detecting a trigger condition for initiating packet coalescing of packet traffic and sending, to an endpoint device, a notification to start packet coalescing. The device can observe a status in response to starting the packet coalescing and report a performance of the packet coalescing. A system can include a controller that detects a trigger condition for packet coalescing and notifies an endpoint device via a notification register. The controller can read a status register to report, based on the read status, a packet coalescing performance. Various other methods, systems, and computer-readable media are also disclosed.

IPC Classes  ?

  • H04L 47/43 - Assembling or disassembling of packets, e.g. segmentation and reassembly [SAR]
  • H04L 43/08 - Monitoring or testing based on specific metrics, e.g. QoS, energy consumption or environmental parameters

6.

Page rinsing scheme to keep a directory page in an exclusive state in a single complex

      
Application Number 17957823
Grant Number 11954033
Status In Force
Filing Date 2022-10-19
First Publication Date 2024-04-09
Grant Date 2024-04-09
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Balakrishnan, Ganesh
  • Apte, Amit
  • Ling, Ann
  • Kalyanasundharam, Vydhyanathan

Abstract

A method includes, in a cache directory, storing an entry associating a memory region with an exclusive coherency state, and in response to a memory access directed to the memory region, transmitting a demote superprobe to convert at least one cache line of the memory region from an exclusive coherency state to a shared coherency state.

IPC Classes  ?

7.

Paging hierarchies for extended page tables and extended page attributes

      
Application Number 16572833
Grant Number 11954026
Status In Force
Filing Date 2019-09-17
First Publication Date 2024-04-09
Grant Date 2024-04-09
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Kaplan, David
  • Christie, David S.

Abstract

A processing system includes a processor core for processing instructions and a memory that stores a page table set including an extended page table having an extended page table entry storing extended page table attributes associated with a physical memory page. The system receives a virtual address and translates the virtual address to a physical address for the physical memory page. One or more extended page attributes associated with the physical memory page are retrieved from the extended page table entry based on the virtual address.

IPC Classes  ?

  • G06F 12/00 - Accessing, addressing or allocating within memory systems or architectures
  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
  • G06F 12/06 - Addressing a physical block of locations, e.g. base addressing, module addressing, address space extension, memory dedication
  • G06F 12/1009 - Address translation using page tables, e.g. page table structures
  • G06F 13/00 - Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units

8.

On-Demand Regulation of Memory Bandwidth Utilization to Service Requirements of Display

      
Application Number 17936809
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Jain, Ashish
  • Yang, Shang
  • Lei, Jun
  • Phan, Gia Tung
  • Hall, Oswin
  • Tsien, Benjamin
  • Kamat, Narendra

Abstract

Systems, apparatuses, and methods for prefetching data by a display controller. From time to time, a performance-state change of a memory are performed. During such changes, a memory clock frequency is changed for a memory subsystem storing frame buffer(s) used to drive pixels to a display device. During the performance-state change, memory accesses may be temporarily blocked. To sustain a desired quality of service for the display, a display controller is configured to prefetch data in advance of the performance-state change. In order to ensure the display controller has sufficient memory bandwidth to accomplish the prefetch, bandwidth reduction circuitry in clients of the system are configured to temporarily reduce memory bandwidth of corresponding clients.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

9.

Selecting a Tiling Scheme for Processing Instances of Input Data Through a Neural Netwok

      
Application Number 17957508
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Subramaniam, Akila
  • Liu, Ying
  • Kwong, Tung Chuen
  • Noguera, Juanjo

Abstract

An electronic device uses a tiling scheme selected from among a set of tiling schemes for processing instances of input data through a neural network. Each of the tiling schemes is associated with a different arrangement of portions into which instances of input data are divided for processing in the neural network. In operation, processing circuitry in the electronic device acquires information about a neural network and properties of the processing circuitry. The processing circuitry then selects a given tiling scheme from among a set of tiling schemes based on the information. The processing circuitry next processes instances of input data in the neural network using the given tiling scheme. Processing each instance of input data in the neural network includes dividing the instance of input data into portions based on the given tiling scheme, separately processing each of the portions in the neural network, and combining the respective outputs to generate an output for the instance of input data.

IPC Classes  ?

  • G06K 9/62 - Methods or arrangements for recognition using electronic means
  • G06N 3/04 - Architecture, e.g. interconnection topology

10.

REGION PATTERN-MATCHING HARDWARE PREFETCHER

      
Application Number 17957795
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Loh, Gabriel H.
  • Scrbak, Marko
  • Arunkumar, Akhil
  • Kalamatianos, John

Abstract

A method for performing prefetching operations is disclosed. The method includes storing a recorded access pattern indicating a set of accesses for a region; in response to an access within the region, fetching the recorded access pattern; and performing prefetching based on the access pattern.

IPC Classes  ?

  • G06F 12/0862 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with prefetch
  • G06F 12/0877 - Cache access modes

11.

UNMATCHED CLOCK FOR COMMAND-ADDRESS AND DATA

      
Application Number 17957788
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Willey, Aaron D
  • Gopalakrishnan, Karthik
  • Jayaraman, Pradeep

Abstract

A memory system includes a PHY embodied on an integrated circuit, the PHY coupling to a memory over conductive traces on a substrate. The PHY includes a reference clock generation circuit providing a reference clock signal to the memory, a first group of driver circuits providing CA signals to the memory, and a second group of driver circuits providing DQ signals to the memory. A plurality of the conductive traces which carry the DQ signals are constructed with a length longer than that of conductive traces carrying the reference clock signal in order to reduce an effective insertion delay associated with coupling the reference clock signal to latch respective incoming DQ signals.

IPC Classes  ?

12.

SPATIAL TEST OF BOUNDING VOLUMES FOR RASTERIZATION

      
Application Number 17957565
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Brennan, Christopher J.
  • Chajdas, Matthaeus G.

Abstract

In response to receiving a scene description, a processing system generates a set of planes in the scene and a bounding volume representing a partition of the scene. Using the set of planes in the scene, a compute unit of an accelerated processing unit performs a spatial test on the bounding volume to determine whether the bounding volume intersects one or more planes of the set of planes in the scene. Based on the spatial test, the compute unit generates intersection data indicating whether the bounding volume intersects one or more planes of the set of planes in the scene. The accelerated processing unit then uses the intersection data to render the scene.

IPC Classes  ?

13.

APPARATUS, SYSTEM, AND METHOD FOR THROTTLING PREFETCHERS TO PREVENT TRAINING ON IRREGULAR MEMORY ACCESSES

      
Application Number 17957358
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Kalamatianos, John
  • Scrbak, Marko
  • Loh, Gabriel H.
  • Arunkumar, Akhil

Abstract

A disclosed computing device includes at least one prefetcher and a processing device communicatively coupled to the prefetcher. The processing device is configured to detect a throttling instruction that indicates a start of a throttling region. The computing device is further configured to prevent the prefetcher from being trained on one or more memory instructions included in the throttling region in response to the throttling instruction. Various other apparatuses, systems, and methods are also disclosed.

IPC Classes  ?

  • G06F 12/0862 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with prefetch

14.

NON-HOMOGENEOUS CHIPLETS

      
Application Number 17956013
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor Chajdas, Matthaeus G.

Abstract

A semiconductor module comprises multiple non-homogeneous semiconductor dies disposed on the semiconductor module, with each semiconductor die having a set of circuitry modules that are common to all of the semiconductor dies and also a set of supporting circuitry modules that are distinct between the semiconductor dies. An interconnect communicatively couples the semiconductor dies together. Commands for processing by the semiconductor module may be routed to individual semiconductor dies based on capabilities of the particular circuitry modules disposed on those individual semiconductor dies.

IPC Classes  ?

  • G06F 15/80 - Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
  • G06T 15/00 - 3D [Three Dimensional] image rendering

15.

MATRIX MULTIPLICATION UNIT WITH FLEXIBLE PRECISION OPERATIONS

      
Application Number 18243264
Status Pending
Filing Date 2023-09-07
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • He, Bin
  • Mantor, Michael
  • Chen, Jiasheng
  • Huang, Jian

Abstract

A processing unit such as a graphics processing unit (GPU) includes a plurality of vector signal processors (VSPs) that include multiply/accumulate elements. The processing unit also includes a plurality of registers associated with the plurality of VSPs. First portions of first and second matrices are fetched into the plurality of registers prior to a first round that includes a plurality of iterations. The multiply/accumulate elements perform matrix multiplication and accumulation on different combinations of subsets of the first portions of the first and second matrices in the plurality of iterations prior to fetching second portions of the first and second matrices into the plurality of registers for a second round. The accumulated results of multiplying the first portions of the first and second matrices are written into an output buffer in response to completing the plurality of iterations.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode
  • G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead
  • G06F 9/54 - Interprogram communication
  • G06F 17/16 - Matrix or vector computation

16.

Quality-of-Service Partition Configuration

      
Application Number 17955613
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Kwong, Tung Chuen
  • Tam, King Chiu
  • Subramaniam, Akila

Abstract

A scheduler of an apparatus exposes an application programming interface (API) usable to specify quality-of-service (QoS) parameters, e.g., latency, throughput, and so forth. An application, for instance, specifies the QoS parameters for a workload to be processed using a hardware compute unit. The QoS parameters are employed by the scheduler as a basis to configure a partition within a hardware compute unit. The partition is configured such that processing resources that are available via the partition to process the workload comply with the specified quality-of-service.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06F 9/54 - Interprogram communication

17.

DIRECTED REFRESH MANAGEMENT FOR DRAM

      
Application Number 17957820
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Brandl, Kevin M.
  • Magro, James R.
  • Balakrishnan, Kedarnath
  • Wang, Jing

Abstract

A memory controller for generating accesses for a memory includes a row hammer logic circuit for providing a sample request. In response to the sample request, the memory controller generates a sample command for dispatch to the memory to cause the memory to capture a current row. In response to a completion of the sample command, the memory controller generates a mitigation command for dispatch to the memory.

IPC Classes  ?

  • G11C 11/4078 - Safety or protection circuits, e.g. for preventing inadvertent or unauthorised reading or writing; Status cells; Test cells
  • G11C 11/406 - Management or control of the refreshing or charge-regeneration cycles

18.

METHODS AND APPARATUS FOR PROVIDING MASK REGISTER OPTIMIZATION FOR VECTOR OPERATIONS

      
Application Number 17957604
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Estlick, Michael
  • Dixon, Eric
  • Carlson, Theodore
  • Swanson, Erik D.

Abstract

A data processing system includes a vector data processing unit that includes a shared scheduler queue configured to store in a same queue, at least one entry that includes at least a mask type instruction and another entry that includes at least a vector type instruction. Shared pipeline control logic controls a vector data path or a mask data path, based a type of instruction picked from the same queue. In some examples, at least one mask type instruction and the at least one vector type instruction each include a source operand having a corresponding shared source register bit field that indexes into both a mask register file and a vector register file. The shared pipeline control logic uses a mask register file or a vector register file depending on whether bits of the shared source register bit field identify a mask source register or a vector source register.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

19.

DATA CO-LOCATION USING ADDRESS HASHING FOR HIGH-PERFORMANCE PROCESSING IN MEMORY

      
Application Number 17956995
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Cho, Benjamin Youngjae
  • Behroozi, Armand Bahram
  • Chu, Michael L.
  • Aji, Ashwin

Abstract

A processing system allocates memory to co-locate input and output operands for operations for processing in memory (PIM) execution in the same PIM-local memory while exploiting row-buffer locality and complying with conventional memory abstraction. The processing system identifies as “super rows” virtual rows that span all the banks of a memory device. Each super row has a different bank-interleaving pattern, referred to as a “color”. A group of contiguous super rows that has the same PIM-interleaving pattern is referred to as a “color group”. The processing system assigns memory addresses to each operand (e.g., vector) of an operation for PIM execution to a super row having a different color within the same color group to co-locate the operands for each PIM execution unit and uses address hashing to alternate between banks assigned to elements of a first operand and elements of a second operand of the operation.

IPC Classes  ?

  • G06F 12/06 - Addressing a physical block of locations, e.g. base addressing, module addressing, address space extension, memory dedication
  • G06F 12/02 - Addressing or allocation; Relocation

20.

MULTI-LEVEL SIGNAL RECEPTION

      
Application Number 17956542
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Willey, Aaron D.
  • Gopalakrishnan, Karthik
  • Jayaraman, Pradeep
  • Mangaser, Ramon

Abstract

A method for receiving a multi-level error signal having more than two logic levels includes oversampling the multi-level error signal to provide sampled symbols, wherein a first level of the multi-level error signal indicates no error, and second and third levels of the multi-level error signal indicate first and second error conditions, respectively. The sampled signals are de-serialized to provide sets of symbols. A start of a symbol period is determined in response to detecting that a given sample is different from a prior sample, and the prior sample indicates no error. The sets of symbols are filtered to provide corresponding output symbols based on the start.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

21.

COMPONENT COOLER WITH MULTIPLE FLUID PATHS

      
Application Number 17956793
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Jaggers, Christopher M.
  • Radke, Robert Edward
  • Helberg, Christopher M.

Abstract

An apparatus for component cooling includes a first heat transfer element configured to be thermally coupled to a heat-generating component and a second heat transfer element configured to be thermally coupled to the heat-generating component. A manifold is configured to receive a single fluid flow of a heat transfer medium and split the single fluid flow into a first split fluid flow provided to the first heat transfer element and a second split fluid flow provided to the second heat transfer element.

IPC Classes  ?

  • G05B 19/416 - Numerical control (NC), i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control of velocity, acceleration or deceleration
  • G06F 1/20 - Cooling means

22.

COOLING DEVICE AWARE PROCESSOR

      
Application Number 17956796
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Pratapgarhwala, Mustansir M.
  • Jaggers, Christopher M.
  • Helberg, Christopher M.

Abstract

A method for configuring a processor includes identifying a component cooling device thermally coupled to a processor, and configuring one or more operating parameters of the processor based on the identification of the component cooling device.

IPC Classes  ?

  • G06F 1/20 - Cooling means
  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt

23.

METHOD AND DEVICE FOR PERFORMING COLOR TWIST FOR IMAGES

      
Application Number 17957662
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor Meeyakhan Rawther, Rajy

Abstract

A processing device and method for executing a color twist operation are provided. The processing device comprises memory and a processor configured to convert values of pixels of a frame from a first color domain to a hue, saturation and value (HSV) color domain, adjust hue values and saturation values of the pixels, store the adjusted hue and saturation values in a portion of the memory local to the processor and convert the frame from the HSV color domain to the first color domain using the adjusted hue and saturation values stored in local memory. The adjusted hue and saturation values are generated from pre-adjusted values, which are generated from masked vector values.

IPC Classes  ?

24.

CONNECTING A CHIPLET TO AN INTERPOSER DIE AND TO A PACKAGE INTERFACE USING A SPACER INTERCONNECT COUPLED TO A PORTION OF THE CHIPLET

      
Application Number 17957444
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Loh, Gabriel H.
  • Chapman, Eric J.
  • Swaminathan, Raja

Abstract

A semiconductor package assembly includes a package interface. An interposer die has a first surface and a second surface opposite to the first surface, where the first surface of the interposer is die positioned on the package interface. The interposer die includes a plurality of conductive connections between the first surface and second surface. A chiplet includes a connectivity region having conductive pathways, with a first portion of the connectivity region coupled to a conductive connection of the interposer die and a second portion of the connectivity region cantilevered from the interposer die.

IPC Classes  ?

  • H01L 23/498 - Leads on insulating substrates
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

25.

Selecting Between Basic and Global Persistent Flush Modes

      
Application Number 17957205
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor Branover, Alexander Joseph

Abstract

Selecting between basic and global persistent flush modes is described. In accordance with the described techniques, a system includes a data fabric in electronic communication with at least one cache and a controller configured to select between operating in a global persistent flush mode and a basic persistent flush mode based on an available flushing latency of the system, control the at least one cache to flush dirty data to the data fabric in response to a flush event trigger while operating in the global persistent flush mode, and transmit a signal to switch control to an application to flush the dirty data from the at least one cache to the data fabric while operating in the basic persistent flush mode.

IPC Classes  ?

  • G06F 12/0868 - Data transfer between cache memory and other subsystems, e.g. storage devices or host systems
  • G06F 12/084 - Multiuser, multiprocessor or multiprocessing cache systems with a shared cache

26.

CNN SEAMLESS TILE PROCESSING FOR LOW-POWER INFERENCE ACCELERATOR

      
Application Number 17957689
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Kwong, Tung Chuen
  • Liu, Ying
  • Subramaniam, Akila

Abstract

Methods and devices are provided for processing image data on a sub-frame portion basis using layers of a convolutional neural network. The processing device comprises memory and a processor. The processor is configured to determine, for an input tile of an image, a receptive field via backward propagation and determine a size of the input tile based on the receptive field and an amount of local memory allocated to store data for the input tile. The processor determines whether the amount of local memory allocated to store the data of the input tile and padded data for the receptive field.

IPC Classes  ?

27.

MULTI-LEVEL STARVATION WIDGET

      
Application Number 17957479
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Gurumurthy, Sankaranarayanan
  • Harwani, Anil

Abstract

The disclosed method includes detecting a stalled memory request, for a first level cache within a cache hierarchy of a processor, that includes an outstanding memory request associated with a second level cache within the cache hierarchy that is higher than the first level cache. The method further includes indicating, to the second level cache, that the first level cache is experiencing a starvation issue due to stalled memory requests to enable the second level cache to perform starvation-remediation actions. Various other methods, systems, and computer-readable media are also disclosed.

IPC Classes  ?

  • G06F 12/0897 - Caches characterised by their organisation or structure with two or more cache hierarchy levels

28.

SYSTEMS AND METHODS FOR CONTINUOUS WORDLINE MONITORING

      
Application Number 17957498
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor Shyvers, Patrick James

Abstract

The disclosed computing device includes a cache memory and at least one processor coupled to the cache memory. The at least one processor is configured to copy data written to one or more nonredundant wordlines of the cache memory to one or more redundant wordlines of the cache memory. The at least one processor is additionally configured to detect a mismatch between data read from the one or more nonredundant wordlines and data stored in the one or more redundant wordlines. The at least one processor is also configured to perform a remediation action in response to detecting the mismatch. Various other methods, systems, and computer-readable media are also disclosed.

IPC Classes  ?

  • G06F 11/10 - Adding special bits or symbols to the coded information, e.g. parity check, casting out nines or elevens
  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

29.

PUSHED PREFETCHING IN A MEMORY HIERARCHY

      
Application Number 17958120
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Kotra, Jagadish B.
  • Kalamatianos, John
  • Moyer, Paul
  • Loh, Gabriel H.

Abstract

Systems and methods for pushed prefetching include: multiple core complexes, each core complex having multiple cores and multiple caches, the multiple caches configured in a memory hierarchy with multiple levels; an interconnect device coupling the core complexes to each other and coupling the core complexes to shared memory, the shared memory at a lower level of the memory hierarchy than the multiple caches; and a push-based prefetcher having logic to: monitor memory traffic between caches of a first level of the memory hierarchy and the shared memory; and based on the monitoring, initiate a prefetch of data to a cache of the first level of the memory hierarchy.

IPC Classes  ?

  • G06F 12/0862 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with prefetch
  • G06F 12/0811 - Multiuser, multiprocessor or multiprocessing cache systems with multilevel cache hierarchies

30.

SYSTEMS AND METHODS FOR GENERATING REMEDY RECOMMENDATIONS FOR POWER AND PERFORMANCE ISSUES WITHIN SEMICONDUCTOR SOFTWARE AND HARDWARE

      
Application Number 17958116
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Mousazadeh, Mohammad Hamed
  • Patel, Arpit
  • Sines, Gabor
  • Irshad, Omer
  • Yu, Phillippe John Louis
  • Yan, Zongjie
  • Colbert, Ian Charles

Abstract

The disclosed computer-implemented method for generating remedy recommendations for power and performance issues within semiconductor software and hardware. For example, the disclosed systems and methods can apply a rule-based model to telemetry data to generate rule-based root-cause outputs as well as telemetry-based unknown outputs. The disclosed systems and methods can further apply a root-cause machine learning model to the telemetry-based unknown outputs to analyze deep and complex failure patterns with the telemetry-based unknown outputs to ultimately generate one or more root-cause remedy recommendations that are specific to the identified failure and the client computing device that is experiencing that failure.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance
  • G06K 9/62 - Methods or arrangements for recognition using electronic means
  • G06N 20/00 - Machine learning

31.

Runtime Flushing to Persistency in Heterogenous Systems

      
Application Number 17957262
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor Branover, Alexander Joseph

Abstract

Runtime flushing to persistency in heterogenous systems is described. In accordance with the described techniques, a system may include a persistent memory in electronic communication with at least one cache and a controller configured to command the at least one cache to flush dirty data to the persistent memory in response to a dirtiness of the at least one cache reaching a cache dirtiness threshold.

IPC Classes  ?

  • G06F 12/0891 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches using clearing, invalidating or resetting means

32.

OFF-CHIP MEMORY SHARED BY MULTIPLE PROCESSING NODES

      
Application Number 17937292
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Austin, Michael John
  • Tikhostoup, Dmitri

Abstract

An apparatus and method for efficiently managing performance among multiple integrated circuits in separate semiconductor chips. In various implementations, a computing system includes at least a first processing node and a second processing node. While processing tasks, the first processing node uses a first memory and the second processing node uses a second memory. A first communication channel transfers data between the first processing node and the second processing node. The first processing node accesses the second memory using a second communication channel different from the first communication channel and supports point-to-point communication. The second memory services access requests from the first and second processing nodes as the access requests are received while foregoing access conflict detection. The first processing node accesses the second memory after determining a particular amount of time has elapsed after reception of an indication from the second processing node specifying that a particular task has begun.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

33.

Executing Kernel Workgroups Across Multiple Compute Unit Types

      
Application Number 17957907
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Beckmann, Bradford Michael
  • Puthoor, Sooraj

Abstract

Portions of programs, oftentimes referred to as kernels, are written by programmers to target a particular type of compute unit, such as a central processing unit (CPU) core or a graphics processing unit (GPU) core. When executing a kernel, the kernel is separated into multiple parts referred to as workgroups, and each workgroup is provided to a compute unit for execution. Usage of one type of compute unit is monitored and, in response to the one type of compute unit being idle, one or more workgroups targeting another type of compute unit are executed on the one type of compute unit. For example, usage of CPU cores is monitored, and in response to the CPU cores being idle, one or more workgroups targeting GPU cores are executed on the CPU cores.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

34.

SUB-COOLING COMPONENTS USING THERMOELECTRIC COOLING

      
Application Number 17956802
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Jaggers, Christopher M.
  • Helberg, Christopher M.

Abstract

An apparatus for sub-cooling components includes a component cooling device, a processor thermally coupled to the component cooling device, an electronic component, a fan configured to direct an airflow across the processor and the electronic component, and a thermoelectric cooling device thermally coupled to the component cooling device. The thermoelectric cooling device is configured to cool the airflow from a first temperature to a second temperature.

IPC Classes  ?

  • H05K 7/20 - Modifications to facilitate cooling, ventilating, or heating
  • F25B 21/02 - Machines, plants or systems, using electric or magnetic effects using Nernst-Ettinghausen effect
  • G06F 1/20 - Cooling means

35.

CONTROL SCHEME FOR REGULATING THERMOELECTRIC COOLING DEVICE POWER FOR A COMPUTING DEVICE

      
Application Number 17956821
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Austin, Michael J.
  • Helberg, Christopher M.
  • Shenoy, Sukesh
  • Jaggers, Christopher M.

Abstract

An apparatus for component cooling includes a thermoelectric cooling (TEC) device configured to be thermally coupled to a first processor, and a controller. The controller is configured to receive at least one first parameter indicative of a first activity level of the first processor; determine a TEC power level from among a plurality of TEC power levels based on the at least one first parameter; and control providing of power to the TEC device at the determined TEC power level.

IPC Classes  ?

  • G06F 1/20 - Cooling means
  • H05K 7/20 - Modifications to facilitate cooling, ventilating, or heating

36.

TAG AND DATA CONFIGURATION FOR FINE-GRAINED CACHE MEMORY

      
Application Number 17956614
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Kotra, Jagadish B.
  • Scrbak, Marko

Abstract

A method for operating a memory having a plurality of banks accessible in parallel, each bank including a plurality of grains accessible in parallel is provided. The method includes: based on a memory access request that specifies a memory address, identifying a set that stores data for the memory access request, wherein the set is spread across multiple grains of the plurality of grains; and performing operations to satisfy the memory access request, using entries of the set stored across the multiple grains of the plurality of grains.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

37.

APPARATUS, SYSTEM, AND METHOD FOR DETECTING PHYSICAL INFRASTRUCTURE CONSTRAINT VIOLATIONS

      
Application Number 17957948
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc (USA)
Inventor
  • Shah, Siddharth K.
  • Sridharan, Vilas
  • Mehra, Amitabh
  • Harwani, Anil
  • Fischofer, William

Abstract

A disclosed method can include (i) reporting, by a microcontroller, detection of a violation of a physical infrastructure constraint to a machine check architecture, (ii) triggering, by the machine check architecture in response to the reporting, a machine-check exception such that the violation of the physical infrastructure constraint is recorded, and (iii) performing a corrective action based on the triggering of the machine-check exception. Various other apparatuses, systems, and methods are also disclosed.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

38.

Connection Modification based on Traffic Pattern

      
Application Number 17957732
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Morris, Nathaniel
  • Cheng, Kevin Yu-Cheng
  • Sandur, Atul Kumar Sujayendra
  • Blagodurov, Sergey

Abstract

Connection modification based on traffic pattern is described. In accordance with the described techniques, a traffic pattern of memory operations across a set of connections between at least one device and at least one memory is monitored. The traffic pattern is then compared to a threshold traffic pattern condition, such as an amount of data traffic in different directions across the connections. A traffic direction of at least one connection of the set of connections is modified based on the traffic pattern corresponding to the threshold traffic pattern condition.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

39.

REGION PATTERN-MATCHING HARDWARE PREFETCHER

      
Application Number US2023030974
Publication Number 2024/072574
Status In Force
Filing Date 2023-08-23
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Loh, Gabriel H.
  • Scrbak, Marko
  • Arunkumar, Akhil
  • Kalamatianos, John

Abstract

A method for performing prefetching operations is disclosed. The method includes storing a recorded access pattern indicating a set of accesses for a region; in response to an access within the region, fetching the recorded access pattern; and performing prefetching based on the access pattern.

IPC Classes  ?

  • G06F 12/0862 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with prefetch
  • G06F 3/06 - Digital input from, or digital output to, record carriers

40.

METHOD AND SYSTEM FOR DISTRIBUTING KEYS

      
Application Number US2023031098
Publication Number 2024/072591
Status In Force
Filing Date 2023-08-24
Publication Date 2024-04-04
Owner
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventor
  • Stewart, Norman Vernon Douglas
  • Doctor, Mihir Shaileshbhai
  • Ahmed, Omar Fakhri
  • Jayanna, Hemaprabhu
  • Traver, John

Abstract

A method and system for distributing keys in a key distribution system includes receiving a connection for communication from a first component. A determination is made whether the first component requires a key be generated and distributed. Based upon a security mode for the communication, the key generated and distributed to the first component.

IPC Classes  ?

  • G06F 21/57 - Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
  • H04L 9/08 - Key distribution

41.

SPATIAL TEST OF BOUNDING VOLUMES FOR RASTERIZATION

      
Application Number US2023033474
Publication Number 2024/072703
Status In Force
Filing Date 2023-09-22
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Brennan, Christopher J.
  • Chajdas, Matthaeus G.

Abstract

In response to receiving a scene description, a processing system [100] generates a set of planes [242] in the scene and a bounding volume [128] representing a partition of the scene. Using the set of planes in the scene, a compute unit [230] of an accelerated processing unit [200] performs a spatial test on the bounding volume to determine whether the bounding volume intersects one or more planes of the set of planes in the scene. Based on the spatial test, the compute unit generates intersection data [435] indicating whether the bounding volume intersects one or more planes of the set of planes in the scene. The accelerated processing unit then uses the intersection data to render the scene.

IPC Classes  ?

42.

HIERARCHICAL WORK SCHEDULING

      
Application Number US2023033937
Publication Number 2024/072932
Status In Force
Filing Date 2023-09-28
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Chajdas, Matthaeus G.
  • Brennan, Christopher J.
  • Mantor, Michael
  • Martin, Robert W.
  • Haehnle, Nicolai

Abstract

A method for hierarchical work scheduling includes consuming a work item at a first scheduling domain having a local scheduler circuit and one or more workgroup processing elements. Consuming the work item produces a set of new work items. Subsequently, the local scheduler circuit distributes at least one new work item of the set of new work items to be executed locally at the first scheduling domain. If the local scheduler circuit of the first scheduling domain determines that the set of new work items includes one or more work items that would overload the first scheduling domain with work if scheduled for local execution, those work items are distributed to the next higher-level scheduler circuit in a scheduling domain hierarchy for redistribution to one or more other scheduling domains.

IPC Classes  ?

  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt
  • G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead
  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06T 1/20 - Processor architectures; Processor configuration, e.g. pipelining

43.

CONNECTION MODIFICATION BASED ON TRAFFIC PATTERN

      
Application Number US2023033940
Publication Number 2024/072935
Status In Force
Filing Date 2023-09-28
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Morris, Nathaniel
  • Cheng, Kevin Yu-Cheng
  • Sandur, Atul Kumar Sujayendra
  • Blagodurov, Sergey

Abstract

Connection modification based on traffic pattern is described. In accordance with the described techniques, a traffic pattern of memory operations across a set of connections between at least one device and at least one memory is monitored. The traffic pattern is then compared to a threshold traffic pattern condition, such as an amount of data traffic in different directions across the connections. A traffic direction of at least one connection of the set of connections is modified based on the traffic pattern corresponding to the threshold traffic pattern condition.

IPC Classes  ?

  • G06F 13/14 - Handling requests for interconnection or transfer
  • H04L 43/08 - Monitoring or testing based on specific metrics, e.g. QoS, energy consumption or environmental parameters

44.

UNMATCHED CLOCK FOR COMMAND-ADDRESS AND DATA

      
Application Number US2023033991
Publication Number 2024/072971
Status In Force
Filing Date 2023-09-28
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Willey, Aaron D
  • Gopalakrishnan, Karthik
  • Jayaraman, Pradeep

Abstract

A memory system includes a PHY embodied on an integrated circuit, the PHY coupling to a memory over conductive traces on a substrate. The PHY includes a reference clock generation circuit providing a reference clock signal to the memory, a first group of driver circuits providing CA signals to the memory, and a second group of driver circuits providing DQ signals to the memory. A plurality of the conductive traces which carry the DQ signals are constructed with a length longer than that of conductive traces carrying the reference clock signal in order to reduce an effective insertion delay associated with coupling the reference clock signal to latch respective incoming DQ signals.

IPC Classes  ?

  • G11C 7/22 - Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management
  • G06F 3/06 - Digital input from, or digital output to, record carriers

45.

COMPONENT COOLER FOR A COMPUTING DEVICE

      
Application Number US2023073736
Publication Number 2024/073225
Status In Force
Filing Date 2023-09-08
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Helberg, Christopher M.
  • Jaggers, Christopher M.
  • Radke, Robert Edward
  • Pratapgarhwala, Mustansir M.
  • Austin, Michael J.
  • Shenoy, Sukesh

Abstract

An apparatus for component cooling includes a first heat transfer element configured to be thermally coupled to a heat-generating electronic component, and a second heat transfer element. The apparatus further includes a plurality of heat transfer paths thermally coupled between the first heat transfer element and the second heat transfer element. Each of the plurality of heat transfer paths configured to provide a separate heat conduction path from the first heat transfer element to the second heat transfer element. The apparatus further includes a manifold including a first fluid passage providing a first portion of a heat transfer fluid in thermal contact with the first heat transfer element, and a second fluid passage providing a second portion of the heat transfer fluid in thermal contact with the second heat transfer element.

IPC Classes  ?

  • G06F 1/20 - Cooling means
  • H01L 23/467 - Arrangements for cooling, heating, ventilating or temperature compensation involving the transfer of heat by flowing fluids by flowing gases, e.g. air
  • H01L 33/64 - Heat extraction or cooling elements
  • F25B 21/02 - Machines, plants or systems, using electric or magnetic effects using Nernst-Ettinghausen effect
  • H01L 23/473 - Arrangements for cooling, heating, ventilating or temperature compensation involving the transfer of heat by flowing fluids by flowing liquids
  • F28B 1/02 - Condensers in which the steam or vapour is separated from the cooling medium by walls, e.g. surface condenser using water or other liquid as the cooling medium

46.

CONNECTING A CHIPLET TO AN INTERPOSER DIE AND TO A PACKAGE INTERFACE USING A SPACER INTERCONNECT COUPLED TO A PORTION OF THE CHIPLET

      
Application Number US2023073770
Publication Number 2024/073229
Status In Force
Filing Date 2023-09-08
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Loh, Gabriel H.
  • Chapman, Eric J.
  • Swaminathan, Raja

Abstract

A semiconductor package assembly includes a package interface. An interposer die has a first surface and a second surface opposite to the first surface, where the first surface of the interposer is die positioned on the package interface. The interposer die includes a plurality of conductive connections between the first surface and second surface. A chiplet includes a connectivity region having conductive pathways, with a first portion of the connectivity region coupled to a conductive connection of the interposer die and a second portion of the connectivity region cantilevered from the interposer die.

IPC Classes  ?

  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
  • H01L 25/07 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

47.

LOW POWER PROCESSING OF REMOTE MANAGEABILITY REQUESTS

      
Application Number US2023074881
Publication Number 2024/073312
Status In Force
Filing Date 2023-09-22
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Sambamurthy, Sriram
  • Paul, Indrani
  • Kramer, David Boardman
  • Chilakam, Madhusudan

Abstract

An apparatus and method for efficiently performing power management for multiple clients of a semiconductor chip that supports remote manageability. In various implementations, a network interface receives a packet, and sends at least an indication of the packet to a manageability processing circuity (MPC) of a processing node with multiple clients for processing tasks. The MPC determines whether a client or itself is a destination needed to process the packet. If the destination is the MPC, then packet processing is done by the MPC without involvement from the clients, which can be in an idle state. For example, the MPC can process a remote manageability packet requesting diagnostic information from one or more clients of the processing node. The network interface and the MPC use a sideband communication channel for data transmission, which foregoes lane training for further reduction in latency and power consumption.

IPC Classes  ?

  • G06F 1/3209 - Monitoring remote activity, e.g. over telephone lines or network connections
  • G06F 1/3234 - Power saving characterised by the action undertaken
  • G06F 1/3287 - Power saving characterised by the action undertaken by switching off individual functional units in the computer system
  • G06F 1/3293 - Power saving characterised by the action undertaken by switching to a less power-consuming processor, e.g. sub-CPU
  • H04L 45/00 - Routing or path finding of packets in data switching networks
  • H04L 49/109 - Integrated on microchip, e.g. switch-on-chip
  • H04L 69/12 - Protocol engines
  • H04L 69/22 - Parsing or analysis of headers

48.

APPARATUS, SYSTEM, AND METHOD FOR DETECTING PHYSICAL INFRASTRUCTURE CONSTRAINT VIOLATIONS

      
Application Number US2023075464
Publication Number 2024/073630
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Shah, Siddharth K.
  • Sridharan, Vilas Sridharan
  • Mehra, Amitabh
  • Harwani, Anil
  • Fischofer, William

Abstract

A disclosed method can include (i) reporting, by a microcontroller, detection of a violation of a physical infrastructure constraint to a machine check architecture, (ii) triggering, by the machine check architecture in response to the reporting, a machine-check exception such that the violation of the physical infrastructure constraint is recorded, and (iii) performing a corrective action based on the triggering of the machine-check exception. Various other apparatuses, systems, and methods are also disclosed.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance
  • G06F 11/30 - Monitoring

49.

MULTI-LEVEL STARVATION WIDGET

      
Application Number US2023075465
Publication Number 2024/073631
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Gurumurthy, Sankaranarayanan
  • Harwani, Anil

Abstract

The disclosed method includes detecting a stalled memory request, for a first level cache within a cache hierarchy of a processor, that includes an outstanding memory request associated with a second level cache within the cache hierarchy that is higher than the first level cache. The method further includes indicating, to the second level cache, that the first level cache is experiencing a starvation issue due to stalled memory requests to enable the second level cache to perform starvation-remediation actions. Various other methods, systems, and computer-readable media are also disclosed.

IPC Classes  ?

  • G06F 12/0897 - Caches characterised by their organisation or structure with two or more cache hierarchy levels

50.

APPARATUS, SYSTEM, AND METHOD FOR THROTTLING PREFETCHERS TO PREVENT TRAINING ON IRREGULAR MEMORY ACCESSES

      
Application Number US2023075480
Publication Number 2024/073641
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Kalamatianos, John
  • Scrbak, Marko
  • Loh, Gabriel H.
  • Arunkumar, Akhil

Abstract

A disclosed computing device includes at least one prefetcher and a processing device communicatively coupled to the prefetcher. The processing device is configured to detect a throttling instruction that indicates a start of a throttling region. The computing device is further configured to prevent the prefetcher from being trained on one or more memory instructions included in the throttling region in response to the throttling instruction. Various other apparatuses, systems, and methods are also disclosed.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 12/0862 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with prefetch

51.

SPECULATIVE DRAM REQUEST ENABLING AND DISABLING

      
Application Number 17956417
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Kotra, Jagadish B.
  • Kalamatianos, John

Abstract

Methods, devices, and systems for retrieving information based on cache miss prediction. It is predicted, based on a history of cache misses at a private cache, that a cache lookup for the information will miss a shared victim cache. A speculative memory request is enabled based on the prediction that the cache lookup for the information will miss the shared victim cache. The information is fetched based on the enabled speculative memory request.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

52.

MEMORY ACCESS ENGINE

      
Application Number 17957742
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Ahmed, Omar Fakhri
  • Stewart, Norman Vernon Douglas
  • Doctor, Mihir Shaileshbhai
  • Arbaugh, Jason Todd
  • Kamble, Milind Baburao
  • Ng, Philip
  • Liu, Xiaojian

Abstract

A technique for servicing a memory request is disclosed. The technique includes obtaining permissions associated with a source and a destination specified by the memory request, obtaining a first set of address translations for the memory request, and executing operations for a first request, using the first set of address translations.

IPC Classes  ?

  • G06F 12/109 - Address translation for multiple virtual address spaces, e.g. segmentation

53.

POWER VIA WITH REDUCED RESISTANCE

      
Application Number 17937313
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Schultz, Richard T.
  • Rowhani, Omid

Abstract

An apparatus and method for efficiently routing power signals across a semiconductor die. In various implementations, an integrated circuit includes, at a first node that receives a power supply reference, a first micro through silicon via (TSV) that traverses through a silicon substrate layer to a backside metal layer. The integrated circuit includes, at a second node that receives the power supply reference, a second micro TSV that physically contacts at least one source region. The integrated circuit includes a first power rail that connects the first micro TSV to the second micro TSV. This power rail replaces contacts between the micro TSVs and a second power rail such as the frontside metal zero (M0) layer. Each of the first power rail, the second power rail, and the backside metal layer provides power connection redundancy that increases charge sharing, improves wafer yield, and reduces voltage droop.

IPC Classes  ?

  • H01L 23/528 - Layout of the interconnection structure
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 23/535 - Arrangements for conducting electric current within the device in operation from one component to another including internal interconnections, e.g. cross-under constructions
  • H01L 27/118 - Masterslice integrated circuits

54.

SECURITY FOR SIMULTANEOUS MULTITHREADING PROCESSORS

      
Application Number 18088909
Status Pending
Filing Date 2022-12-27
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Kaplan, David
  • Ilic, Jelena

Abstract

A processor implements a simultaneous multithreading (SMT) protection mode that, when enabled, prevents execution of particular software (e.g., a virtual machine) at a processor core when a thread associated with different software (e.g., a different virtual machine or a hypervisor) is currently executing at the processor core. By preventing execution of the software, data, software execution patterns, and other potentially sensitive information is kept protected from unauthorized access or detection. Further, in at least some embodiments the SMT protection mode is implemented on a per-software basis, so that different software can choose whether to implement the protection mode, thereby allowing the processor to be employed in a wide variety of computing environments.

IPC Classes  ?

  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt

55.

SIGNAL INTERFERENCE TESTING USING RELIABLE READ WRITE INTERFACE

      
Application Number 17957808
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Askar, Tahsin
  • Davanam, Naveen
  • Balakrishnan, Kedarnath
  • Brandl, Kevin M.
  • Magro, James R.

Abstract

A memory controller includes a first arbiter for selecting memory commands for dispatch to a memory over a first channel, a second arbiter for selecting memory commands for dispatch to the memory over a second channel, and a test circuit. The test circuit generates a respective testing sequence of read commands and write commands for each of the first channel and second channel, and causes the testing sequences to be transmitted over the first and second channels at least partially overlapping in time without selection by the first or second arbiters.

IPC Classes  ?

  • G11C 29/10 - Test algorithms, e.g. memory scan [MScan] algorithms; Test patterns, e.g. checkerboard patterns

56.

Data Reuse Cache

      
Application Number 17955618
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Garg, Alok
  • Marketkar, Neil N
  • Sobel, Matthew T.

Abstract

Data reuse cache techniques are described. In one example, a load instruction is generated by an execution unit of a processor unit. In response to the load instruction, data is loaded by a load-store unit for processing by the execution unit and is also stored to a data reuse cache communicatively coupled between the load-store unit and the execution unit. Upon receipt of a subsequent load instruction for the data from the execution unit, the data is loaded from the data reuse cache for processing by the execution unit.

IPC Classes  ?

  • G06F 12/0811 - Multiuser, multiprocessor or multiprocessing cache systems with multilevel cache hierarchies
  • G06F 12/0875 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with dedicated cache, e.g. instruction or stack
  • G06F 12/0884 - Parallel mode, e.g. in parallel with main memory or CPU

57.

BIGNUM ADDITION AND/OR SUBTRACTION WITH CARRY PROPAGATION

      
Application Number 17955634
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Kayiran, Onur
  • Estlick, Michael
  • Ahmad, Masab
  • Loh, Gabriel H.

Abstract

A processing unit includes a plurality of adders and a plurality of carry bit generation circuits. The plurality of adders add first and second X bit binary portion values of a first Y bit binary value and a second Y bit binary value. Y is a multiple of X. The plurality of adders further generate first carry bits. The plurality of carry bit generation circuits is coupled to the plurality of adders, respectively, and receive the first carry bits. The plurality of carry bit generation circuits generate second carry bits based on the first carry bits. The plurality of adders use the second carry bits to add the first and second X bit binary portions of the first and second Y bit binary values, respectively.

IPC Classes  ?

  • G06F 7/498 - Computations with decimal numbers using counter-type accumulators
  • G06F 7/506 - Adding; Subtracting in bit-parallel fashion, i.e. having a different digit-handling circuit for each denomination with simultaneous carry generation for, or propagation over, two or more stages

58.

DYNAMIC NODE TRAVERSAL ORDER FOR RAY TRACING

      
Application Number 17956567
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Pankratz, David William John
  • Shkurko, Konstantin I.

Abstract

Devices and methods for node traversal for ray tracing are provided, which comprise casting a first ray in a space comprising objects represented by geometric shapes, traversing, for the first ray, at least one first node of an accelerated hierarchy structure representing an approximate volume of a group of the geometric shapes and a second node representing a volume of one of the geometric shapes, casting a second ray in the space, selecting, for the second ray, a starting node of traversal based on locations of intersection of the first ray and the second ray and an identifier which identifies one or more nodes intersected by the first ray and traversing, for the second ray, the accelerated hierarchy structure beginning at the starting node of traversal.

IPC Classes  ?

59.

COMPONENT COOLER FOR A COMPUTING DEVICE

      
Application Number 17956799
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Helberg, Christopher M.
  • Jaggers, Christopher M.
  • Radke, Robert Edward
  • Pratapgarhwala, Mustansir M.
  • Austin, Michael J.
  • Shenoy, Sukesh

Abstract

An apparatus for component cooling includes a first heat transfer element configured to be thermally coupled to a heat-generating electronic component, and a second heat transfer element. The apparatus further includes a plurality of heat transfer paths thermally coupled between the first heat transfer element and the second heat transfer element. Each of the plurality of heat transfer paths configured to provide a separate heat conduction path from the first heat transfer element to the second heat transfer element. The apparatus further includes a manifold including a first fluid passage providing a first portion of a heat transfer fluid in thermal contact with the first heat transfer element, and a second fluid passage providing a second portion of the heat transfer fluid in thermal contact with the second heat transfer element.

IPC Classes  ?

  • H05K 7/20 - Modifications to facilitate cooling, ventilating, or heating
  • G06F 1/20 - Cooling means

60.

COMPONENT COOLER WITH MULTIPLE HEAT TRANSFER PATHS

      
Application Number 17956789
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Helberg, Christopher M.
  • Jaggers, Christopher M.
  • Radke, Robert Edward

Abstract

An apparatus for component cooling includes a first heat transfer element configured to be thermally coupled to a heat-generating component, and a second heat transfer element. The apparatus further includes a plurality of thermally conductive paths between the first heat transfer element and the second heat transfer element. Each of the plurality of thermally conductive paths are configured to provide a separate heat conduction path from the first heat transfer element to the second heat transfer element.

IPC Classes  ?

  • H05K 7/20 - Modifications to facilitate cooling, ventilating, or heating
  • F25B 21/02 - Machines, plants or systems, using electric or magnetic effects using Nernst-Ettinghausen effect
  • G06F 1/20 - Cooling means

61.

VECTOR PROCESSING UNIT WITH PROGRAMMABLE MULTICYCLE SHUFFLE UNIT

      
Application Number 17957672
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Dixon, Eric
  • Estlick, Michael
  • Swanson, Erik D.

Abstract

An integrated circuit includes a vector data processing unit that employs a cross-lane shuffle unit including multiplexing logic that programmably shuffles packed source lane values, each corresponding to one of a plurality of vector lanes, to different output vector result lane positions over multiple cycles. In certain implementations, in a first cycle, control logic in the cross-shuffle unit controls the multiplexing logic to select source lane values to be placed in a first group of output vector result lane positions for a vector result register; and in at least a second cycle, the same multiplexing logic is reused to select source lane values to be placed in a second group of output vector result lane positions for the vector result register wherein at least one of the selected source lane values is moved to a different result lane position. Associated methods are also presented.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode
  • G06F 9/345 - Addressing or accessing the instruction operand or the result of multiple operands or results

62.

METHOD AND APPARATUS FOR STORING KEYS

      
Application Number 17956587
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (USA)
Inventor
  • Stewart, Norman Vernon Douglas
  • Doctor, Mihir Shaileshbhai
  • Ahmed, Omar Fakhri
  • Jayanna, Hemaprabhu
  • Traver, John

Abstract

A method and apparatus for storing keys in a key storage block includes processing a key request. A first key is allocated based upon the key request. The first key is stored in the key storage block, wherein the first key is of a first size and includes a first rule.

IPC Classes  ?

63.

Frequency/State Based Power Management Thresholds

      
Application Number 17936740
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Jain, Ashish
  • Yang, Shang

Abstract

A system and method for determining power-performance state transition thresholds in a computing system. A processor comprises several functional blocks and a power manager. Each of the functional blocks produces data corresponding to an activity level associated with the respective functional block. The power manager determines activity levels of the functional blocks and compares the activity level of a given functional block to a threshold to determine if a power-performance state (P-state) transition is indicated. The threshold is determined in part on a current P-state of the given functional block. When the current P-state of the given functional block is relatively high, the threshold activity level to transition to a higher P-state is higher than it would be if the current P-state were relatively low. The power manager is further configured to determine the thresholds based in part on one or more of a type of circuit being monitored and a type of workload being executed.

IPC Classes  ?

  • G06F 1/3206 - Monitoring of events, devices or parameters that trigger a change in power modality

64.

Work Graph Scheduler Implementation

      
Application Number 17936788
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Chajdas, Matthäus G.
  • Mantor, Michael J.
  • Mccrary, Rex Eldon
  • Brennan, Christopher J.
  • Martin, Robert
  • Baumeister, Dominik
  • Wildgrube, Fabian Robert Sebastian

Abstract

Systems, apparatuses, and methods for implementing a hierarchical scheduler. In various implementations, a processor includes a global scheduler, and a plurality of independent local schedulers with each of the local schedulers coupled to a plurality of processors. In one implementation, the processor is a graphics processing unit and the processors are computation units. The processor further includes a shared cache that is shared by the plurality of local schedulers. Each of the local schedulers also includes a local cache used by the local scheduler and processors coupled to the local scheduler. To schedule work items for execution, the global scheduler is configured to store one or more work items in the shared cache and convey an indication to a first local scheduler of the plurality of local schedulers which causes the first local scheduler to retrieve the one or more work items from the shared cache. Subsequent to retrieving the work items, the local scheduler is configured to schedule the retrieved work items for execution by the coupled processors. Each of the plurality of local schedulers is configured to schedule work items for execution independent of scheduling performed by other local schedulers.

IPC Classes  ?

65.

Synchronization Method for Low Latency Communication for Efficient Scheduling

      
Application Number 17936798
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Chajdas, Matthäus G.
  • Mantor, Michael J.
  • Mccrary, Rex Eldon
  • Brennan, Christopher J.
  • Martin, Robert
  • Baumeister, Dominik
  • Wildgrube, Fabian Robert Sebastian

Abstract

Systems, apparatuses, and methods for implementing a message passing system to schedule work in a computing system. In various implementations, a processor includes a global scheduler, and a plurality of local schedulers with each of the local schedulers coupled to a plurality of processors. The processor further includes a shared cache that is shared by the plurality of local schedulers. Also, a plurality of mailboxes are implemented to enable communication between the local schedulers and the global scheduler. To schedule work items for execution, the global scheduler is configured to store one or more work items in the shared cache and store an indication in a mailbox for a first local scheduler of the plurality of local schedulers. Responsive to detecting the message in the mailbox, the first local scheduler identifies a location of the one or more work items in the shared cache and retrieves them for scheduling locally.

IPC Classes  ?

  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt
  • G06F 9/54 - Interprogram communication

66.

INTEGRATING DEVICES INTO A CARRIER WAFER FOR THREE DIMENSIONALLY STACKED SEMICONDUCTOR DEVICES

      
Application Number 17957483
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Buch, Chintan
  • Swaminathan, Raja

Abstract

A method of forming a semiconductor assembly includes forming a set of through-silicon vias in a carrier wafer, where a layer of the carrier wafer includes integrated devices. A die is coupled to a top surface of the carrier wafer including the set of through-silicon vias using hybrid bonding. One or more connection layers of the die are coupled to one or more of the through-silicon vias and coupled to one or more of the integrated devices. A second wafer is coupled to a top surface of the die. An amount is removed from a bottom surface of the carrier wafer that is parallel to and opposite to the top surface of the carrier wafer to reveal a conductive portion of at least one of the through-silicon vias.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

67.

DYNAMICALLY ALTERING TRACKING GRANULARITY IN A REGION-BASED CACHE DIRECTORY

      
Application Number 17958179
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Apte, Amit
  • Balakrishnan, Ganesh

Abstract

A method includes, in a cache directory, storing a set of entries corresponding to one or more memory regions having a first region size when the cache directory is in a first configuration, and based on a workload sparsity metric, reconfiguring the cache directory to a second configuration. In the second configuration, each entry in the set of entries corresponds to a memory region having a second region size.

IPC Classes  ?

  • G06F 12/0895 - Caches characterised by their organisation or structure of parts of caches, e.g. directory or tag array

68.

LOW POWER PROCESSING OF REMOTE MANAGEABILITY REQUESTS

      
Application Number 17937262
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Sambamurthy, Sriram
  • Paul, Indrani
  • Kramer, David Boardman
  • Chilakam, Madhusudan

Abstract

An apparatus and method for efficiently performing power management for multiple clients of a semiconductor chip that supports remote manageability. In various implementations, a network interface receives a packet, and sends at least an indication of the packet to a manageability processing circuitry (MPC) of a processing node with multiple clients for processing tasks. The MPC determines whether a client or itself is a destination needed to process the packet. If the destination is the MPC, then packet processing is done by the MPC without involvement from the clients, which can be in an idle state. For example, the MPC can process a remote manageability packet requesting diagnostic information from one or more clients of the processing node. The network interface and the MPC use a sideband communication channel for data transmission, which foregoes lane training for further reduction in latency and power consumption.

IPC Classes  ?

69.

COMPONENT COOLER WITH SPRING MECHANISM

      
Application Number 17956813
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor Radke, Robert Edward

Abstract

An apparatus for component cooling includes a manifold and a heat transfer element configured to be thermally coupled to a heat-generating component. The apparatus further includes a first spring mechanism between the manifold and the heat transfer element. The first spring mechanism is configured to apply a first force to the heat transfer element.

IPC Classes  ?

70.

INCREASING SYSTEM POWER EFFICIENCY BY OPTICAL COMPUTING

      
Application Number 17956606
Status Pending
Filing Date 2022-09-29
First Publication Date 2024-04-04
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Blagodurov, Sergey
  • Cheng, Kevin Y.
  • Seyedzadehdelcheh, Seyedmohammad
  • Ahmad, Masab

Abstract

Methods and systems are disclosed for reducing power consumption by a system including a digital unit and an optical unit. Techniques disclosed comprise generating a workload signature of an incoming workload to be executed by the system. Based on the generated workload signature, techniques disclosed comprise matching the incoming workload with a profile of stored workload profiles. The workload profiles are generated by a trace capture unit. Based on the associated profile, a task submission transaction is sent to the optical unit of the system, representative of a request to execute the incoming workload by the optical unit.

IPC Classes  ?

  • G06F 1/329 - Power saving characterised by the action undertaken by task scheduling

71.

HIERARCHICAL WORK SCHEDULING

      
Application Number 17957714
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Chajdas, Matthaeus G.
  • Brennan, Christopher J.
  • Mantor, Michael
  • Martin, Robert W.
  • Haehnle, Nicolai

Abstract

A method for hierarchical work scheduling includes consuming a work item at a first scheduling domain having a local scheduler circuit and one or more workgroup processing elements. Consuming the work item produces a set of new work items. Subsequently, the local scheduler circuit distributes at least one new work item of the set of new work items to be executed locally at the first scheduling domain. If the local scheduler circuit of the first scheduling domain determines that the set of new work items includes one or more work items that would overload the first scheduling domain with work if scheduled for local execution, those work items are distributed to the next higher-level scheduler circuit in a scheduling domain hierarchy for redistribution to one or more other scheduling domains.

IPC Classes  ?

  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt

72.

SPECULATIVE DRAM REQUEST ENABLING AND DISABLING

      
Application Number US2023030971
Publication Number 2024/072573
Status In Force
Filing Date 2023-08-23
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Kotra, Jagadish B.
  • Kalamatianos, John

Abstract

Methods, devices, and systems for retrieving information based on cache miss prediction. It is predicted, based on a history of cache misses at a private cache, that a cache lookup for the information will miss a shared victim cache. A speculative memory request is enabled based on the prediction that the cache lookup for the information will miss the shared victim cache. The information is fetched based on the enabled speculative memory request.

IPC Classes  ?

  • G06F 12/084 - Multiuser, multiprocessor or multiprocessing cache systems with a shared cache
  • G06F 3/06 - Digital input from, or digital output to, record carriers

73.

TAG AND DATA CONFIGURATION FOR FINE-GRAINED CACHE MEMORY

      
Application Number US2023030983
Publication Number 2024/072575
Status In Force
Filing Date 2023-08-23
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Kotra, Jagadish B.
  • Scrbak, Marko

Abstract

A method for operating a memory having a plurality of banks accessible in parallel, each bank including a plurality of grains accessible in parallel is provided. The method includes: based on a memory access request that specifies a memory address, identifying a set that stores data for the memory access request, wherein the set is spread across multiple grains of the plurality of grains; and performing operations to satisfy the memory access request, using entries of the set stored across the multiple grains of the plurality of grains.

IPC Classes  ?

  • G06F 12/0842 - Multiuser, multiprocessor or multiprocessing cache systems for multiprocessing or multitasking
  • G06F 3/06 - Digital input from, or digital output to, record carriers

74.

SECURITY FOR SIMULTANEOUS MULTITHREADING PROCESSORS

      
Application Number US2023032857
Publication Number 2024/072645
Status In Force
Filing Date 2023-09-15
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Kaplan, David
  • Ilic, Jelena

Abstract

A processor [101] implements a simultaneous multithreading (SMT) protection mode that, when enabled, prevents execution of particular software [106] (e.g., a virtual machine) at a processor core [102] when a thread associated with different software (e.g., a different virtual machine or a hypervisor) is currently executing at the processor core. By preventing execution of the software, data, software execution patterns, and other potentially sensitive information is kept protected from unauthorized access or detection. Further, in at least some embodiments the SMT protection mode is implemented on a per-software basis, so that different software can choose whether to implement the protection mode, thereby allowing the processor to be employed in a wide variety of computing environments.

IPC Classes  ?

  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

75.

DIRECTED REFRESH MANAGEMENT FOR DRAM

      
Application Number US2023033587
Publication Number 2024/072725
Status In Force
Filing Date 2023-09-25
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Brandl, Kevin M.
  • Magro, James R.
  • Balakrishnan, Kedarnath
  • Wang, Jing

Abstract

A memory controller for generating accesses for a memory includes a row hammer logic circuit for providing a sample request. In response to the sample request, the memory controller generates a sample command for dispatch to the memory to cause the memory to capture a current row. In response to a completion of the sample command, the memory controller generates a mitigation command for dispatch to the memory.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

76.

MULTI-LEVEL SIGNAL RECEPTION

      
Application Number US2023033588
Publication Number 2024/072726
Status In Force
Filing Date 2023-09-25
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Willey, Aaron D.
  • Gopalakrishnan, Karthik
  • Jayaraman, Pradeep
  • Mangaser, Ramon

Abstract

A method for receiving a multi-level error signal having more than two logic levels includes oversampling the multi-level error signal to provide sampled symbols, wherein a first level of the multi-level error signal indicates no error, and second and third levels of the multi-level error signal indicate first and second error conditions, respectively. The sampled signals are de-serialized to provide sets of symbols. A start of a symbol period is determined in response to detecting that a given sample is different from a prior sample, and the prior sample indicates no error. The sets of symbols are filtered to provide corresponding output symbols based on the start.

IPC Classes  ?

  • G11C 11/4096 - Input/output [I/O] data management or control circuits, e.g. reading or writing circuits, I/O drivers or bit-line switches
  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G11C 29/52 - Protection of memory contents; Detection of errors in memory contents

77.

REMOTE DISPLAY SYNCHRONIZATION TO PRESERVE LOCAL DISPLAY

      
Application Number US2023033789
Publication Number 2024/072843
Status In Force
Filing Date 2023-09-27
Publication Date 2024-04-04
Owner
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventor
  • Cheng, Jeffrey
  • Shen, Yuping
  • Mironov, Mikhail
  • Zhang, Min

Abstract

A remote display synchronization technique preserves the presence of a local display device for a remotely-rendered video stream. A server and a client device cooperate to dynamically determine a target frame rate for a stream of rendered frames suitable for the current capacities of the server and the client device and networking conditions. The server generates from this target frame rate a synchronization signal that serves as timing control for the rendering process. The client device may provide feedback to instigate a change in the target frame rate, and thus a corresponding change in the synchronization signal. In this approach, the rendering frame rate and the encoding frequency may be "synchronized" in a manner consistent with the capacities of the server, the network, and the client device, resulting in generation, encoding, transmission, decoding, and presentation of a stream of frames that mitigates missed encoding of frames while providing acceptable latency.

IPC Classes  ?

  • H04N 21/242 - Synchronization processes, e.g. processing of PCR [Program Clock References]
  • H04N 21/2662 - Controlling the complexity of the video stream, e.g. by scaling the resolution or bitrate of the video stream based on the client capabilities
  • H04N 21/24 - Monitoring of processes or resources, e.g. monitoring of server load, available bandwidth or upstream requests
  • G06N 3/08 - Learning methods

78.

SCHEDULING PROCESSING-IN-MEMORY REQUESTS AND MEMORY REQUESTS

      
Application Number US2023033820
Publication Number 2024/072862
Status In Force
Filing Date 2023-09-27
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Madan, Niti
  • Alsop, Johnathan Robert
  • Dutu, Alexandru
  • Islam, Mahzabeen
  • Eckert, Yasuko
  • Jayasena, Nuwan S

Abstract

A memory controller coupled to a memory module receives both processing-in-memory (PIM) requests and memory requests from a host (e.g., a host processor). The memory controller issues PIM requests to one group of memory banks and concurrently issues memory requests to one or more other groups of memory banks. Accordingly, memory requests are performed on groups of memory banks that would otherwise be idle while PIM requests are performed on the one group of memory banks. Optionally, the memory controller coupled to the memory module also takes various actions when switching between operating in a PIM mode and a non-processing-in-memory mode to reduce or hide overhead when switching between the two modes.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

79.

BIGNUM ADDITION AND/OR SUBTRACTION WITH CARRY PROPAGATION

      
Application Number US2023033942
Publication Number 2024/072937
Status In Force
Filing Date 2023-09-28
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Kayiran, Onur
  • Estlick, Michael
  • Ahmad, Masab
  • Loh, Gabriel H.

Abstract

A processing unit includes a plurality of adders and a plurality of carry bit generation circuits. The plurality of adders add first and second X bit binary portion values of a first Y bit binary value and a second Y bit binary value. Y is a multiple of X. The plurality of adders further generate first carry bits. The plurality of carry bit generation circuits is coupled to the plurality of adders, respectively, and receive the first carry bits. The plurality of carry bit generation circuits generate second carry bits based on the first carry bits. The plurality of adders use the second carry bits to add the first and second X bit binary portions of the first and second Y bit binary values, respectively.

IPC Classes  ?

  • G06F 7/506 - Adding; Subtracting in bit-parallel fashion, i.e. having a different digit-handling circuit for each denomination with simultaneous carry generation for, or propagation over, two or more stages
  • G06F 7/509 - Adding; Subtracting in bit-parallel fashion, i.e. having a different digit-handling circuit for each denomination for multiple operands, e.g. digital integrators
  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode
  • H04L 9/32 - Arrangements for secret or secure communications; Network security protocols including means for verifying the identity or authority of a user of the system

80.

SIGNAL INTERFERENCE TESTING USING RELIABLE READ WRITE INTERFACE

      
Application Number US2023034002
Publication Number 2024/072981
Status In Force
Filing Date 2023-09-28
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Askar, Tahsin
  • Davanam, Naveen
  • Balakrishnan, Kedarnath
  • Brandl, Kevin, M.
  • Magro, James, R.

Abstract

A memory controller includes a first arbiter for selecting memory commands for dispatch to a memory over a first channel, a second arbiter for selecting memory commands for dispatch to the memory over a second channel, and a test circuit. The test circuit generates a respective testing sequence of read commands and write commands for each of the first channel and second channel, and causes the testing sequences to be transmitted over the first and second channels at least partially overlapping in time without selection by the first or second arbiters.

IPC Classes  ?

  • G11C 29/02 - Detection or location of defective auxiliary circuits, e.g. defective refresh counters
  • G11C 29/12 - Built-in arrangements for testing, e.g. built-in self testing [BIST]
  • G06F 3/06 - Digital input from, or digital output to, record carriers

81.

PREDICATES FOR PROCESSING-IN-MEMORY

      
Application Number US2023071767
Publication Number 2024/073182
Status In Force
Filing Date 2023-08-07
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor Jayasena, Nuwan S.

Abstract

Predicates for processing in memory is described. In accordance with the described techniques, a predicate instruction to compute a conditional value based on data stored in a memory is provided to a processing-in-memory component. A response that includes the conditional value computed by the processing-in-memory component is received, and the conditional value is stored in a predicate register. One or more conditional instructions are provided to the processing-in-memory component based on the conditional value stored in the predicate register.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 15/78 - Architectures of general purpose stored program computers comprising a single central processing unit

82.

DYNAMICALLY ALTERING TRACKING GRANULARITY IN A REGION-BASED CACHE DIRECTORY

      
Application Number US2023071862
Publication Number 2024/073185
Status In Force
Filing Date 2023-08-08
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Apte, Amit
  • Balakrishnan, Ganesh

Abstract

A method includes, in a cache directory, storing a set of entries corresponding to one or more memory regions having a first region size when the cache directory is in a first configuration, and based on a workload sparsity metric, reconfiguring the cache directory to a second configuration. In the second configuration, each entry in the set of entries corresponds to a memory region having a second region size.

IPC Classes  ?

  • G06F 12/0893 - Caches characterised by their organisation or structure
  • G06F 12/0891 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches using clearing, invalidating or resetting means

83.

SELECTING BETWEEN BASIC AND GLOBAL PERSISTENT FLUSH MODES

      
Application Number US2023072458
Publication Number 2024/073193
Status In Force
Filing Date 2023-08-18
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor Branover, Alexander Joseph

Abstract

Selecting between basic and global persistent flush modes is described. In accordance with the described techniques, a system includes a data fabric in electronic communication with at least one cache and a controller configured to select between operating in a global persistent flush mode and a basic persistent flush mode based on an available flushing latency of the system, control the at least one cache to flush dirty data to the data fabric in response to a flush event trigger while operating in the global persistent flush mode, and transmit a signal to switch control to an application to flush the dirty data from the at least one cache to the data fabric while operating in the basic persistent flush mode.

IPC Classes  ?

84.

RUNTIME FLUSHING TO PERSISTENCY IN HETEROGENOUS SYSTEMS

      
Application Number US2023072655
Publication Number 2024/073197
Status In Force
Filing Date 2023-08-22
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor Branover, Alexander Joseph

Abstract

Runtime flushing to persistency in heterogenous systems is described. In accordance with the described techniques, a system may include a persistent memory in electronic communication with at least one cache and a controller configured to command the at least one cache to flush dirty data to the persistent memory in response to a dirtiness of the at least one cache reaching a cache dirtiness threshold.

IPC Classes  ?

  • G06F 12/0815 - Cache consistency protocols
  • G06F 3/06 - Digital input from, or digital output to, record carriers

85.

SUB-COOLING COMPONENTS USING THERMOELECTRIC COOLING

      
Application Number US2023073762
Publication Number 2024/073227
Status In Force
Filing Date 2023-09-08
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Jaggers, Christopher M.
  • Helberg, Christopher M.

Abstract

An apparatus for sub-cooling components includes a component cooling device, a processor thermally coupled to the component cooling device, an electronic component, a fan configured to direct an airflow across the processor and the electronic component, and a thermoelectric cooling device thermally coupled to the component cooling device. The thermoelectric cooling device is configured to cool the airflow from a first temperature to a second temperature.

IPC Classes  ?

  • G06F 1/20 - Cooling means
  • H05K 7/20 - Modifications to facilitate cooling, ventilating, or heating
  • F25B 21/02 - Machines, plants or systems, using electric or magnetic effects using Nernst-Ettinghausen effect
  • H01L 23/467 - Arrangements for cooling, heating, ventilating or temperature compensation involving the transfer of heat by flowing fluids by flowing gases, e.g. air
  • H01L 23/473 - Arrangements for cooling, heating, ventilating or temperature compensation involving the transfer of heat by flowing fluids by flowing liquids
  • H01L 23/38 - Cooling arrangements using the Peltier effect

86.

DATA REUSE CACHE

      
Application Number US2023073766
Publication Number 2024/073228
Status In Force
Filing Date 2023-09-08
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Garg, Alok
  • Marketkar, Neil N.
  • Sobel, Matthew T.

Abstract

Data reuse cache techniques are described. In one example, a load instruction is generated by an execution unit of a processor unit. In response to the load instruction, data is loaded by a load-store unit for processing by the execution unit and is also stored to a data reuse cache communicatively coupled between the load-store unit and the execution unit. Upon receipt of a subsequent load instruction for the data from the execution unit, the data is loaded from the data reuse cache for processing by the execution unit.

IPC Classes  ?

  • G06F 12/0802 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches

87.

ON-DEMAND REGULATION OF MEMORY BANDWIDTH UTILIZATION TO SERVICE REQUIREMENTS OF DISPLAY

      
Application Number US2023073925
Publication Number 2024/073231
Status In Force
Filing Date 2023-09-12
Publication Date 2024-04-04
Owner
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventor
  • Jain, Ashish
  • Yang, Shang
  • Lei, Jun
  • Phan, Gia Tung
  • Hall, Oswin
  • Tsien, Benjamin
  • Kamat, Narendra

Abstract

Systems, apparatuses, and methods for prefetching data by a display controller are proposed. From time to time, a performance-state change of a memory is performed. During such changes, a memory clock frequency is changed for a memory subsystem (220) storing frame buffer(s) (230) used to drive pixels to a display device (250). During the performance-state change, memory accesses may be temporarily blocked. To sustain a desired quality of service for the display, a display controller (150) is configured to prefetch data in advance of the performance-state change. In order to ensure the display controller has sufficient memory bandwidth to accomplish the prefetch, bandwidth reduction circuitry (112A, 112N) in clients (205) of the system are configured to temporarily reduce memory bandwidth of corresponding clients.

IPC Classes  ?

  • G09G 5/00 - Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators
  • G06F 1/324 - Power saving characterised by the action undertaken by lowering clock frequency
  • G06F 1/3234 - Power saving characterised by the action undertaken
  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 12/0862 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with prefetch
  • G09G 5/393 - Arrangements for updating the contents of the bit-mapped memory
  • G11C 7/22 - Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management
  • G09G 5/395 - Arrangements specially adapted for transferring the contents of the bit-mapped memory to the screen

88.

WORK GRAPH SCHEDULER IMPLEMENTATION

      
Application Number US2023074869
Publication Number 2024/073306
Status In Force
Filing Date 2023-09-22
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Chajdas, Matthäus G.
  • Mantor, Michael J.
  • Mccrary, Rex Eldon
  • Brennan, Christopher J.
  • Martin, Robert
  • Baumeister, Dominik
  • Wildgrube, Fabian Robert Sebastian

Abstract

Systems, apparatuses, and methods for implementing a hierarchical scheduler. In various implementations, a processor includes a global scheduler, and a plurality of independent local schedulers with each of the local schedulers coupled to a plurality of processors. In one implementation, the processor is a graphics processing unit and the processors are computation units. The processor further includes a shared cache that is shared by the plurality of local schedulers. Each of the local schedulers also includes a local cache used by the local scheduler and processors coupled to the local scheduler. To schedule work items for execution, the global scheduler is configured to store one or more work items in the shared cache and convey an indication to a first local scheduler of the plurality of local schedulers which causes the first local scheduler to retrieve the one or more work items from the shared cache. Subsequent to retrieving the work items, the local scheduler is configured to schedule the retrieved work items for execution by the coupled processors. Each of the plurality of local schedulers is configured to schedule work items for execution independent of scheduling performed by other local schedulers.

IPC Classes  ?

  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt
  • G06F 9/54 - Interprogram communication

89.

SYNCHRONIZATION METHOD FOR LOW LATENCY COMMUNICATION FOR EFFICIENT SCHEDULING

      
Application Number US2023074877
Publication Number 2024/073309
Status In Force
Filing Date 2023-09-22
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor
  • Chajdas, Matthäus G.
  • Mantor, Michael J.
  • Mccrary, Rex Eldon
  • Brennan, Christopher J.
  • Martin, Robert
  • Baumeister, Dominik
  • Wildgrube, Fabian Robert Sebastian

Abstract

Systems, apparatuses, and methods for implementing a message passing system to schedule work in a computing system. In various implementations, a processor includes a global scheduler, and a plurality of local schedulers with each of the local schedulers coupled to a plurality of processors. The processor further includes a shared cache that is shared by the plurality of local schedulers. Also, a plurality of mailboxes are implemented to enable communication between the local schedulers and the global scheduler. To schedule work items for execution, the global scheduler is configured to store one or more work items in the shared cache and store an indication in a mailbox for a first local scheduler of the plurality of local schedulers. Responsive to detecting the message in the mailbox, the first local scheduler identifies a location of the one or more work items in the shared cache and retrieves them for scheduling locally.

IPC Classes  ?

  • G06F 9/48 - Program initiating; Program switching, e.g. by interrupt
  • G06F 9/54 - Interprogram communication

90.

SYSTEMS AND METHODS FOR CONTINUOUS WORDLINE MONITORING

      
Application Number US2023075461
Publication Number 2024/073629
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-04
Owner ADVANCED MICRO DEVICES, INC. (USA)
Inventor Shyvers, Patrick James

Abstract

The disclosed computing device includes a cache memory and at least one processor coupled to the cache memory. The at least one processor is configured to copy data written to one or more nonredundant wordlines of the cache memory to one or more redundant wordlines of the cache memory. The at least one processor is additionally configured to detect a mismatch between data read from the one or more nonredundant wordlines and data stored in the one or more redundant wordlines. The at least one processor is also configured to perform a remediation action in response to detecting the mismatch. Various other methods, systems, and computer-readable media are also disclosed.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 12/0802 - Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches

91.

SYSTEMS AND METHODS FOR GENERATING REMEDY RECOMMENDATIONS FOR POWER AND PERFORMANCE ISSUES WITHIN SEMICONDUCTOR SOFTWARE AND HARDWARE

      
Application Number US2023075470
Publication Number 2024/073634
Status In Force
Filing Date 2023-09-29
Publication Date 2024-04-04
Owner
  • ADVANCED MICRO DEVICES, INC. (USA)
  • ATI TECHNOLOGIES ULC (Canada)
Inventor
  • Mousazadeh, Mohammad Hamed
  • Patel, Arpit
  • Sines, Gabor
  • Irshad, Omer
  • Yu, Philippe John Louis
  • Yan, Zongjie
  • Colbert, Ian Charles

Abstract

The disclosed computer-implemented method for generating remedy recommendations for power and performance issues within semiconductor software and hardware. For example, the disclosed systems and methods can apply a rule-based model to telemetry data to generate rule-based root-cause outputs as well as telemetry-based unknown outputs. The disclosed systems and methods can further apply a root-cause machine learning model to the telemetry-based unknown outputs to analyze deep and complex failure patterns with the telemetry-based unknown outputs to ultimately generate one or more root-cause remedy recommendations that are specific to the identified failure and the client computing device that is experiencing that failure.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance
  • G06F 11/22 - Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
  • G06F 11/34 - Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation
  • G06F 21/31 - User authentication
  • G06N 20/00 - Machine learning

92.

Leveraging an Adaptive Oscillator for Fast Frequency Changes

      
Application Number 17935391
Status Pending
Filing Date 2022-09-26
First Publication Date 2024-03-28
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Dimitriadis, Sokratis
  • Oreifej, Rashad
  • Jain, Ashish
  • Wong, Joyce Cheuk Wai
  • Kao, Tzyy-Juin

Abstract

Systems, apparatuses, and methods for managing power and performance in a computing system. A system management unit detects a condition indicating a change in a power-performance state of a given computing unit is indicated. In response to detecting the indication, the system management unit is configured to initiate a change to a frequency of a clock signal generated by an adaptive oscillator by changing a voltage supplied to the adaptive oscillator. The adaptive oscillator is configured to rapidly change a frequency of the clock signal generated in response to detecting a change in a droopy supply voltage of the adaptive oscillator. The new frequency generated by the adaptive oscillator is based in part on a difference between the droopy supply voltage and a regulated supply voltage of the adaptive oscillator.

IPC Classes  ?

  • H03K 5/00 - Manipulation of pulses not covered by one of the other main groups of this subclass
  • G05F 1/46 - Regulating voltage or current wherein the variable actually regulated by the final control device is dc
  • G06F 1/08 - Clock generators with changeable or programmable clock frequency
  • H03K 5/159 - Applications of delay lines not covered by the preceding subgroups

93.

Memory Power Performance State Optimization During Image Display

      
Application Number 17936345
Status Pending
Filing Date 2022-09-28
First Publication Date 2024-03-28
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Phan, Gia Tung
  • Jain, Ashish
  • Patel, Chintan S.
  • Tsien, Benjamin
  • Lei, Jun
  • Yang, Shang
  • Hall, Oswin

Abstract

Systems, apparatuses, and methods for prefetching data by a display controller. From time to time, a performance-state change of a memory are performed. During such changes, a memory clock frequency is changed for a memory subsystem storing frame buffer(s) used to drive pixels to a display device. During the performance-state change, memory accesses may be temporarily blocked. In order to reduce visual artifacts that may occur while the memory accesses are blocked, a memory subsystem includes a control circuit configured to enable a caching mode which caches display data provided to the display controller. Subsequent requests for display data from the display controller are then serviced using the cached data instead of accessing memory.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

94.

Multi-Level Cell Memory Management

      
Application Number 17952268
Status Pending
Filing Date 2022-09-25
First Publication Date 2024-03-28
Owner Advanced Micro Devices, Inc. (USA)
Inventor Seyedzadehdelcheh, Seyedmohammad

Abstract

Multi-level cell memory management techniques are described. In one example, the memory controller is configured to control whether a single-level cell operation or a multi-level cell operation to be used using different mapping schemes. The single-level cell operation, for instance, is usable to store a data word using two states whereas the multi-level cell operation is usable to store the data word by also using an intermediate state. In order to store the data word using two states, the memory controller is configurable to separate the data word across two word lines in the physical memory. In an implementation, use of the different operations and corresponding mapping schemes by the memory controller alternates between adjacent word lines in physical memory.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

95.

Block Data Load with Transpose into Memory

      
Application Number 17952270
Status Pending
Filing Date 2022-09-25
First Publication Date 2024-03-28
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • He, Bin
  • Mantor, Michael John
  • Emberling, Brian
  • Huang, Liang
  • Liu, Chao

Abstract

Block data load with transpose techniques are described. In one example, an input is received, at a control unit, specifying an instruction to load a block of data to at least one memory module using a transpose operation. Responsive to the receiving the input by the control unit, the block of data is caused to be loaded to the at least one memory module by transposing the block of data to form a transposed block of data and storing the transposed block of data in the at least one memory.

IPC Classes  ?

  • G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead
  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

96.

Data Compression and Decompression for Processing in Memory

      
Application Number 17952697
Status Pending
Filing Date 2022-09-26
First Publication Date 2024-03-28
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Punniyamurthy, Kishore
  • Kotra, Jagadish B

Abstract

In accordance with the described techniques for data compression and decompression for processing in memory, a page address is received by a processing in memory component that maps to a first location in memory where data of a page is maintained. The data of the page is compressed by the processing in memory component. Further, compressed data of the page is written by the processing in memory component to a compressed block device responsive to the compressed data satisfying one or more compressibility criteria. The compressed block device is a portion of the memory dedicated to storing data in a compressed form.

IPC Classes  ?

  • G06F 12/02 - Addressing or allocation; Relocation

97.

Bank-Level Parallelism for Processing in Memory

      
Application Number 17953723
Status Pending
Filing Date 2022-09-27
First Publication Date 2024-03-28
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Islam, Mahzabeen
  • Aga, Shaizeen Dilawarhusen
  • Alsop, Johnathan Robert
  • Ibrahim, Mohamed Assem Abd Elmohsen
  • Jayasena, Nuwan S

Abstract

In accordance with the described techniques for bank-level parallelism for processing in memory, a plurality of commands are received for execution by a processing in memory component embedded in a memory. The memory includes a first bank and a second bank. The plurality of commands include a first stream of commands which cause the processing in memory component to perform operations that access the first bank and a second stream of commands which cause the processing in memory component to perform operations that access the second bank. A next row of the first bank that is to be accessed by the processing in memory component is identified. Further, a precharge command is scheduled to close a first row of the first bank and an activate command is scheduled to open the next row of the first bank in parallel with execution of the second stream of commands.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

98.

DIVERSIFIED VIRTUAL MEMORY

      
Application Number 17954183
Status Pending
Filing Date 2022-09-27
First Publication Date 2024-03-28
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Stewart, Norman Vernon Douglas
  • Doctor, Mihir Shaileshbhai
  • Ahmed, Omar Fakhri

Abstract

Systems and methods are disclosed for managing diversified virtual memory by an engine. Techniques disclosed include receiving one or more request messages, each request message including a job descriptor that specifies an operation to be performed on a respective virtual memory space, processing the job descriptors by generating one or more commands for transmission to one or more virtual memory managers, and transmitting the one or more commands to the one or more virtual memory managers (VMMs) for processing.

IPC Classes  ?

  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 12/02 - Addressing or allocation; Relocation

99.

Scheduling Processing-in-Memory Requests and Memory Requests

      
Application Number 17954784
Status Pending
Filing Date 2022-09-28
First Publication Date 2024-03-28
Owner Advanced Micro Devices, Inc. (USA)
Inventor
  • Madan, Niti
  • Alsop, Johnathan Robert
  • Dutu, Alexandru
  • Islam, Mahzabeen
  • Eckert, Yasuko
  • Jayasena, Nuwan S

Abstract

A memory controller coupled to a memory module receives both processing-in-memory (PIM) requests and memory requests from a host (e.g., a host processor). The memory controller issues PIM requests to one group of memory banks and concurrently issues memory requests to one or more other groups of memory banks. Accordingly, memory requests are performed on groups of memory banks that would otherwise be idle while PIM requests are performed on the one group of memory banks. Optionally, the memory controller coupled to the memory module also takes various actions when switching between operating in a PIM mode and a non-processing-in-memory mode to reduce or hide overhead when switching between the two modes.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

100.

METHOD AND SYSTEM FOR DISTRIBUTING KEYS

      
Application Number 17955421
Status Pending
Filing Date 2022-09-28
First Publication Date 2024-03-28
Owner
  • Advanced Micro Devices, Inc. (USA)
  • ATI Technologies ULC (Canada)
Inventor
  • Stewart, Norman Vernon Douglas
  • Doctor, Mihir Shaileshbhai
  • Ahmed, Omar Fakhri
  • Jayanna, Hemaprabhu
  • Traver, John

Abstract

A method and system for distributing keys in a key distribution system includes receiving a connection for communication from a first component. A determination is made whether the first component requires a key be generated and distributed. Based upon a security mode for the communication, the key generated and distributed to the first component.

IPC Classes  ?

  • H04L 9/40 - Network security protocols
  • H04W 12/04 - Key management, e.g. using generic bootstrapping architecture [GBA]
  1     2     3     ...     54        Next Page