Cadence Design Systems, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 1 673 pour Cadence Design Systems, Inc. Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Excluant les filiales
Affiner par Reset Report
Date
Nouveautés (dernières 4 semaines) 7
2024 avril (MACJ) 4
2024 mars 8
2024 février 5
2024 janvier 11
Voir plus
Classe IPC
G06F 17/50 - Conception assistée par ordinateur 968
G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation 120
G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF] 90
G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement 68
G06F 30/30 - Conception de circuits 54
Voir plus
Statut
En Instance 13
Enregistré / En vigueur 1 660
Résultats pour  brevets
  1     2     3     ...     17        Prochaine page

1.

Control algorithm generator for non-volatile memory module

      
Numéro d'application 17864135
Numéro de brevet 11966633
Statut Délivré - en vigueur
Date de dépôt 2022-07-13
Date de la première publication 2024-04-23
Date d'octroi 2024-04-23
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Gregor, Steven L.
  • Arora, Puneet

Abrégé

An NVM algorithm generator that evaluates a Liberty file characterizing an NVM module and a memory view of the NVM module that identifies ports and associated operations of the NVM module to generate a control algorithm. The control algorithm includes a read algorithm that includes an order of operations for assigning values to ports of the NVM module to assert a read condition of a strobe port, executing a memory read on the NVM module and setting values to the ports on the NVM module to assert a complement of a program condition. The control algorithm also includes a program algorithm that includes an order of operations for assigning values to ports of the NVM module to assert the program condition of the strobe port, executing a memory write and setting values to the ports on the NVM module to assert the complement of the program condition.

Classes IPC  ?

  • G06F 17/00 - TRAITEMENT ÉLECTRIQUE DE DONNÉES NUMÉRIQUES Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des fonctions spécifiques
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

2.

System and method for poison information propagation in a storage device

      
Numéro d'application 17897334
Numéro de brevet 11960351
Statut Délivré - en vigueur
Date de dépôt 2022-08-29
Date de la première publication 2024-04-16
Date d'octroi 2024-04-16
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Modi, Dipakkumar Trikamlal
  • Banerjee, Bikram
  • Chaitanya, Maddula Balakrishna

Abrégé

Systems and methods for propagating poison information are provided. Embodiments include receiving write data having a poison flag asserted indicating the data to be written to a memory device is erroneous. Embodiments further include converting the write data to a pre-fixed data pattern and generating a parity code, based upon, at least in part, the pre-fixed data pattern. Embodiments may also include injecting a correctable error into the write-data or parity code and writing the write data and parity code into the memory device. The correctable error injection may occur in the data or in the parity code and during the read the comparison may occur accordingly.

Classes IPC  ?

  • G06F 11/07 - Réaction à l'apparition d'un défaut, p.ex. tolérance de certains défauts
  • G06F 11/00 - Détection d'erreurs; Correction d'erreurs; Contrôle de fonctionnement
  • G06F 11/30 - Surveillance du fonctionnement
  • H03M 13/00 - Codage, décodage ou conversion de code pour détecter ou corriger des erreurs; Hypothèses de base sur la théorie du codage; Limites de codage; Méthodes d'évaluation de la probabilité d'erreur; Modèles de canaux; Simulation ou test des codes
  • H03M 13/11 - Détection d'erreurs ou correction d'erreurs transmises par redondance dans la représentation des données, c.à d. mots de code contenant plus de chiffres que les mots source utilisant un codage par blocs, c.à d. un nombre prédéterminé de bits de contrôle ajouté à un nombre prédéterminé de bits d'information utilisant plusieurs bits de parité

3.

Method and system to implement a composite, multi-domain model for electro-optical modeling and simulation

      
Numéro d'application 17572454
Numéro de brevet 11960809
Statut Délivré - en vigueur
Date de dépôt 2022-01-10
Date de la première publication 2024-04-16
Date d'octroi 2024-04-16
Propriétaire
  • ANSYS, INC. (USA)
  • CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Lamant, Gilles Simon Claude
  • Pond, James Frederick
  • Klein, Jackson
  • Lu, Zeqin
  • Farsaei, Ahmadreza

Abrégé

Provided is an improved method, system, and computer program product to implement simulation for photonic devices. A composite, multi-domain simulation model is disclosed, with connected domain-specific representations that allow the use of the most relevant simulator technology for a given domain. The model has external connection points either expressed as actual ports or virtual ones, embodied by simulator API calls in the model.

Classes IPC  ?

  • G06F 30/367 - Vérification de la conception, p.ex. par simulation, programme de simulation avec emphase de circuit intégré [SPICE], méthodes directes ou de relaxation
  • G06F 21/62 - Protection de l’accès à des données via une plate-forme, p.ex. par clés ou règles de contrôle de l’accès

4.

Test-point flop sharing with improved testability in a circuit design

      
Numéro d'application 17953618
Numéro de brevet 11947887
Statut Délivré - en vigueur
Date de dépôt 2022-09-27
Date de la première publication 2024-04-02
Date d'octroi 2024-04-02
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Chakravadhanula, Krishna
  • Foutz, Brian
  • Rai, Prateek Kumar
  • Singhal, Sarthak
  • Papameletis, Christos
  • Chickermane, Vivek

Abrégé

A system includes a memory that stores instructions and receives a circuit netlist, and includes a processing unit that accesses the memory and executes the instructions. The instructions include an EDA application that includes a test-point flop allocation module that is configured to evaluate the circuit netlist to determine compatibility of the test-point nodes in the circuit netlist. The test-point flop allocation module can further allocate each of the test-point flops to a test-point sharing group comprising a plurality of compatible test-point nodes. The EDA application also includes a circuit layout module configured to generate a circuit layout associated with the circuit design, the circuit layout comprising the functional logic and scan-chains comprising the test-point flops allocated to the test-point sharing groups in response to the circuit netlist. The circuit layout is employable to fabricate an integrated circuit (IC) chip.

Classes IPC  ?

  • G06F 30/333 - Conception en vue de la testabilité [DFT], p.ex. chaîne de balayage ou autotest intégré [BIST]
  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]
  • G01R 31/3185 - Reconfiguration pour les essais, p.ex. LSSD, découpage

5.

LOW JITTER CLOCK MULTIPLIER CIRCUIT AND METHOD WITH ARBITARY FREQUENCY ACQUISITION

      
Numéro d'application 18254522
Statut En instance
Date de dépôt 2021-11-25
Date de la première publication 2024-03-28
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Yasotharan, Hemesh
  • Yaghini, Navid
  • Li, Zhuobin
  • Ting, Clifford
  • Wang, Robert

Abrégé

A circuit and method are described for generating a low jitter output clock having an arbitrary non-integer divide ratio relative to a high-frequency clock. Integer divide ratios of the high-frequency clock may be achieved by dividing the high-frequency clock by the reference clock and phase locking the output clock to the high-frequency clock. Non-integer divide ratios can be achieved by dividing the high-frequency clock by the nearest integer, rounded down, and then delaying the resultant output clock by the modulus of the division. The delay can then be rotated across to create a clock with a non-integer divide ratio relative to the high-frequency clock. By doing so, a high-frequency clock may be used that is not constrained by having a frequency that is an integer multiple of each desired component-specific output clock signal.

Classes IPC  ?

  • H03L 7/183 - Synthèse de fréquence indirecte, c. à d. production d'une fréquence désirée parmi un certain nombre de fréquences prédéterminées en utilisant une boucle verrouillée en fréquence ou en phase en utilisant un diviseur de fréquence ou un compteur dans la boucle une différence de temps étant utilisée pour verrouiller la boucle, le compteur entre des nombres fixes ou le diviseur de fréquence divisant par un nombre fixe
  • H03L 7/081 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase avec un déphaseur commandé additionnel

6.

System and method for intelligent intent recognition based electronic design

      
Numéro d'application 17665670
Numéro de brevet 11941334
Statut Délivré - en vigueur
Date de dépôt 2022-02-07
Date de la première publication 2024-03-26
Date d'octroi 2024-03-26
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Gupta, Deepak
  • Kumar, Hitesh Mohan
  • Singh, Yatinder

Abrégé

Embodiments include herein are directed towards a system and method for intelligent intent recognition based electronic design. Embodiments may include receiving, using a processor, a natural language input from a user at an intent recognition model. Embodiments may also include performing intent recognition on the natural language input at the intent recognition model and providing an output from the intent recognition model to a command generator. Embodiments may further include generating a command based upon, at least in part, the output and executing the command at a target tool environment.

Classes IPC  ?

  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 30/39 - Conception de circuits au niveau physique
  • G06F 40/20 - Analyse du langage naturel

7.

Providing concise data for analyzing checker completeness

      
Numéro d'application 17152289
Numéro de brevet 11941335
Statut Délivré - en vigueur
Date de dépôt 2021-01-19
Date de la première publication 2024-03-26
Date d'octroi 2024-03-26
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Verma, Amit
  • Monma, Yumi
  • Spatafore, David
  • Kumar, Suyash
  • Jain, Devank

Abrégé

Methods and systems for providing concise data for analyzing checker completeness, in the context of formal verification analysis of circuit designs. The methods and systems concisely report information useful to a human user (e.g., circuit designer or verification engineer) for efficiently determining what manual action should be taken next to resolve holes in verification coverage. The reported information can include lists of signals on which checkers can be written, which lists can be ranked, can be limited to a subset of interest signals, and can include corresponding cover items for each reported interest signal. The present systems and methods thereby improve on reporting provided to the user, permitting the user to more quickly advance a formal verification process toward full coverage of the relevant portions of a circuit design.

Classes IPC  ?

  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits

8.

System and method for error checking and correction with metadata storage in a memory controller

      
Numéro d'application 17952453
Numéro de brevet 11928027
Statut Délivré - en vigueur
Date de dépôt 2022-09-26
Date de la première publication 2024-03-12
Date d'octroi 2024-03-12
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Trikamlal, Modi Dipakkumar
  • Chaitanya, Maddula Balakrishna

Abrégé

Embodiments include receiving fixed size error checking and correction data blocks and metadata at a memory controller. Embodiments may include performing data to symbol mapping based upon the fixed size data blocks and providing an output of the data to symbol mapping to a first encoder without metadata configured for full detection correction of single device error and to a second encoder with metadata configured for partial detection correction of single device error. Embodiments may include receiving data at a memory based upon an output from the first encoder and the second encoder and receiving data from the memory at a first decoder without metadata configured for full detection correction of single device error and at a second decoder with metadata configured for partial detection correction. Embodiments may include re-mapping symbol data from the first decoder and the second decoder to actual data and generating output data blocks and metadata.

Classes IPC  ?

  • G06F 11/10 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p.ex. en utilisant des codes de contrôle en ajoutant des chiffres binaires ou des symboles particuliers aux données exprimées suivant un code, p.ex. contrôle de parité, exclusion des 9 ou des 11

9.

System and method for non-intrusive debugging at an embedded software breakpoint

      
Numéro d'application 17236584
Numéro de brevet 11928045
Statut Délivré - en vigueur
Date de dépôt 2021-04-21
Date de la première publication 2024-03-12
Date d'octroi 2024-03-12
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Bhattacharya, Bishnupriya
  • Wilmot, Andrew Robert
  • Duan, Zhiting
  • Bhatnagar, Neeti Khullar

Abrégé

The present disclosure relates to a method for use with an electronic design. Embodiments may include simulating a processor model and a hardware model, each executed with a corresponding simulator thread on a simulation platform. Embodiments may also include simulating embedded software using the processor model. The simulating may include updating a given register of the processor model that stores a value that changes in response to switching between processes within the embedded software. Embodiments may further include setting a simulator breakpoint and a software breakpoint and enabling debugging of both non-virtual and virtual addresses at the software breakpoint without leaving the software breakpoint.

Classes IPC  ?

  • G06F 11/36 - Prévention d'erreurs en effectuant des tests ou par débogage de logiciel
  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 30/30 - Conception de circuits
  • G06F 115/10 - Processeurs

10.

Formal analysis methods for debug compilation

      
Numéro d'application 17316097
Numéro de brevet 11928410
Statut Délivré - en vigueur
Date de dépôt 2021-05-10
Date de la première publication 2024-03-12
Date d'octroi 2024-03-12
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Mano, Stefano
  • Monma, Yumi

Abrégé

Methods and systems are disclosed for optimizing compilation efforts for design debug based on formal analyses. The method includes accessing a circuit design, automatically determining a segment as being a design region of interest, identifying a behavior within the segment for performing at least one verification test, compiling the segment without compiling a remainder of the circuit design, and providing performance indicators corresponding to the behavior within the segment based on the segment as compiled.

Classes IPC  ?

  • G06F 30/33 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle
  • G06F 30/12 - CAO géométrique caractérisée par des moyens d’entrée spécialement adaptés à la CAO, p.ex. interfaces utilisateur graphiques [UIG] spécialement adaptées à la CAO

11.

Multi-threaded network routing based on partitioning

      
Numéro d'application 17207190
Numéro de brevet 11928500
Statut Délivré - en vigueur
Date de dépôt 2021-03-19
Date de la première publication 2024-03-12
Date d'octroi 2024-03-12
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Chow, Wing-Kai
  • Yildiz, Mehmet Can

Abrégé

Various embodiments provide for multi-threaded network routing of a circuit design based on partitioning networks of the circuit design, which can enable partitioning routing tasks for the circuit design. More particularly, some embodiments iteratively partition networks of a circuit design into groups of networks, which enable various embodiments to schedule routing tasks for those groups of networks to available threads such that no two networks of the circuit design with overlapping routing regions are routed at the same time, and such that idle time of each thread (e.g., time where thread has no work or is waiting for another thread to finish) can be minimized.

Classes IPC  ?

  • G06F 16/22 - Indexation; Structures de données à cet effet; Structures de stockage
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 30/394 - Routage

12.

System, media, and method for deep learning

      
Numéro d'application 16237524
Numéro de brevet 11928582
Statut Délivré - en vigueur
Date de dépôt 2018-12-31
Date de la première publication 2024-03-12
Date d'octroi 2024-03-12
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Pathak, Piyush
  • Yang, Haoyu
  • Gennari, Frank E.
  • Lai, Ya-Chieh

Abrégé

Embodiments of the invention provide a system, media, and method for deep learning applications in physical design verification. Generally, the approach includes maintaining a pattern library for use in training machine learning model(s). The pattern library being generated adaptively and supplemented with new patterns after review of new patterns. In some embodiments, multiple types of information may be included in the pattern library, including validation data, and parameter and anchoring data used to generate the patterns. In some embodiments, the machine learning processes are combined with traditional design rule analysis. The patterns being generated and adapted using a lossless process that encodes the information of a corresponding area of a circuit layout.

Classes IPC  ?

  • G06N 3/08 - Méthodes d'apprentissage
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06N 3/042 - Réseaux neuronaux fondés sur la connaissance; Représentations logiques de réseaux neuronaux

13.

Emulation system supporting representation of four-state signals

      
Numéro d'application 16212363
Numéro de brevet 11900135
Statut Délivré - en vigueur
Date de dépôt 2018-12-06
Date de la première publication 2024-02-13
Date d'octroi 2024-02-13
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Poplack, Mitchell G
  • Hayashi, Yuhei

Abrégé

An emulation processor may be configured to support emulating unknown binary logic based on non-arbitrariness of the unknown binary logic. For example, an unknown binary logic signal may take the finite binary values of 0 and 1. The circuitry in the emulation processor is configured to generate and propagate outputs based on the interactions of known input binary signals with the unknown input binary signals having non-arbitrary states. The emulation processor may support the both combinational and sequential operations associated with the unknown binary logic.

Classes IPC  ?

  • G06F 3/00 - Dispositions d'entrée pour le transfert de données destinées à être traitées sous une forme maniable par le calculateur; Dispositions de sortie pour le transfert de données de l'unité de traitement à l'unité de sortie, p.ex. dispositions d'interface
  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation

14.

Method and system for debugging metastability in digital circuits

      
Numéro d'application 17950983
Numéro de brevet 11892504
Statut Délivré - en vigueur
Date de dépôt 2022-09-22
Date de la première publication 2024-02-06
Date d'octroi 2024-02-06
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Drake, Alberto Arias
  • Mittra, Bijitendra
  • Silvano, Keyliane Da Silva Fernandes

Abrégé

Systems and methods of debugging a design under test for metastability issues using formal verification. In one aspect, the method includes determining, by a server, that a functionality of the DUT failed an assertion; generating, by the server, a plurality of first waveforms for a plurality of clock domain crossing (CDC) pairs that are in a cone of influence of the assertion; applying, by the server, a constraint including a condition to the plurality of waveforms; and generating, by the server, one or more second waveforms for a first subset of the plurality of CDC pairs, wherein the first subset of the CDC pairs satisfied the condition.

Classes IPC  ?

  • G01R 31/00 - Dispositions pour tester les propriétés électriques; Dispositions pour la localisation des pannes électriques; Dispositions pour tests électriques caractérisées par ce qui est testé, non prévues ailleurs
  • G01R 31/317 - Tests de circuits numériques

15.

System and method for routing in an electronic design

      
Numéro d'application 17477855
Numéro de brevet 11893335
Statut Délivré - en vigueur
Date de dépôt 2021-09-17
Date de la première publication 2024-02-06
Date d'octroi 2024-02-06
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Gustave Ginetti, Arnold Jean Marie

Abrégé

Embodiments include herein are directed towards a method for electronic circuit design. Embodiments may include receiving a selection of an instance associated with an electronic design at an electronic design schematic displayed on a graphical user interface. Embodiments may also include selecting a corresponding instance within an electronic design layout displayed on a graphical user interface. Embodiments may further include receiving a selection of a source topology and routing at the electronic design layout displayed on the graphical user interface, based upon at least in part, the source topology.

Classes IPC  ?

  • G06F 30/394 - Routage
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 111/20 - CAO de configuration, p.ex. conception par assemblage ou positionnement de modules sélectionnés à partir de bibliothèques de modules préconçus

16.

Utilizing transition ATPG test patterns to detect multicycle faults and/or defects in an IC chip

      
Numéro d'application 17499414
Numéro de brevet 11893336
Statut Délivré - en vigueur
Date de dépôt 2021-10-12
Date de la première publication 2024-02-06
Date d'octroi 2024-02-06
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Chokhani, Arvind
  • Swenton, Joseph Michael
  • Amodeo, Martin Thomas

Abrégé

An IC test engine generates a plurality of two-cycle delay test patterns that target a first set of multicycle faults and/or defects of a fabricated IC chip based on an IC design. Each two-cycle delay test pattern includes a scan-in shift window operating at a test clock frequency, and a capture window with a launch cycle and a capture cycle operating at a functional clock frequency. The IC test engine fault simulates the plurality of two-cycle delay test patterns against a second set of multicycle faults and/or defects in the IC design utilizing sim-shifting, such that a state of the IC design after at least a last two shift clock cycles of a scan-in shift in window of each two-cycle delay test pattern of the plurality of two-cycle delay test patterns are fault simulated to provide two fault initialization cycles for detection of a multicycle delay fault and/or defect.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 119/18 - Analyse de fabricabilité ou optimisation de fabricabilité
  • G06F 119/12 - Analyse temporelle ou optimisation temporelle

17.

Diagnosing multicycle transition faults and/or defects with AT-speed ATPG test patterns

      
Numéro d'application 17865104
Numéro de brevet 11892501
Statut Délivré - en vigueur
Date de dépôt 2022-07-14
Date de la première publication 2024-02-06
Date d'octroi 2024-02-06
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Chokhani, Arvind
  • Swenton, Joseph M.
  • Amodeo, Martin

Abrégé

An integrated circuit (IC) test engine generates N-cycle at-speed test patterns for testing for candidate faults and/or defects of a first set of transition faults and/or defects of an IC design. A diagnostics engine that receives test result data characterizing application of the N-cycle at-speed test patterns to a fabricated IC chip based on the IC design by an ATE, in which the test result data includes a set of miscompare values characterizing a difference between an expected result and a result measured by the ATE for a given N-cycle at-speed test pattern. The diagnostics engine employs a fault simulator to fault-simulate the N-cycle at-speed test patterns against a fault model that includes a first set of transition faults and/or defects and fault-simulate a subset of the N-cycle at-speed test patterns against a fault model that includes multicycle transition faults and/or defects utilizing sim-shifting.

Classes IPC  ?

  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux

18.

Systems and methods for packing of transaction layer (TL) packets

      
Numéro d'application 17375278
Numéro de brevet 11886372
Statut Délivré - en vigueur
Date de dépôt 2021-07-14
Date de la première publication 2024-01-30
Date d'octroi 2024-01-30
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Ying, Claire Liyan
  • Nguyen, Uyen Huynh Ha
  • Wang, Shu

Abrégé

The present disclosure relates to packing transaction layer (TL) packets at a link layer of a protocol stack. In some examples, channel type data identify a type of message channel for a first TL packet can be generated. A set of slot formats for a slot for packing the first TL packet can be identified based on the channel type data and a slot format database. A respective slot format of the set of slot formats can be selected for the slot based on a message type of the first TL packet, and a message type of a second TL packet. The first TL packet and the second TL packet can be packed into the slot having the selected respective slot format during generation of a link layer packet.

Classes IPC  ?

  • G06F 13/36 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus ou au système à bus communs
  • G06F 13/42 - Protocole de transfert pour bus, p.ex. liaison; Synchronisation
  • G06F 13/38 - Transfert d'informations, p.ex. sur un bus
  • G06F 13/40 - Structure du bus

19.

Transmitter architecture for high speed memory interfaces

      
Numéro d'application 17848725
Numéro de brevet 11874788
Statut Délivré - en vigueur
Date de dépôt 2022-06-24
Date de la première publication 2024-01-16
Date d'octroi 2024-01-16
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Kumar, Vinod

Abrégé

Embodiments included herein are directed towards a transmitter circuit. The circuit may include a most significant bit (“MSB”) main driver and a most significant bit boost driver operatively connected to the MSB main driver. The circuit may also include a least significant bit (“LSB”) main driver and a least significant bit boost driver operatively connected to the LSB main driver, wherein the MSB main driver and the LSB main driver are configured to receive two parallel non-return-to-zero (“NRZ”) data inputs.

Classes IPC  ?

  • G06F 13/40 - Structure du bus
  • H03K 19/1776 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels des ressources de configuration pour les mémoires
  • H03K 19/017 - Modifications pour accélérer la commutation dans les circuits à transistor à effet de champ
  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire

20.

Dynamically updated delay line

      
Numéro d'application 17729088
Numéro de brevet 11876521
Statut Délivré - en vigueur
Date de dépôt 2022-04-26
Date de la première publication 2024-01-16
Date d'octroi 2024-01-16
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Shuaeb Fazeel, Hajee Mohammed
  • Yadav, Jitendra Kumar
  • Wilson, Thomas Evan

Abrégé

The present disclosure relates to dynamically updating a delay line code. A method for updating the delay line code may include receiving a strobe input at a coarse delay line. The method may further include receiving a coarse delay cell code at the coarse delay line. The method may also include generating a first clock path based upon a first chain of interleaved logic gates included within the coarse delay line. The method may additionally include generating a second clock path based upon a second chain of interleaved logic gates included within the coarse delay line. The method may further include receiving the first clock path, and the second clock path, and a fine delay cell code at a fine delay cell. The method may also include generating a strobe delayed output based upon the first clock path, and the second clock path, and the fine delay code.

Classes IPC  ?

  • H03K 5/134 - Dispositions ayant une sortie unique et transformant les signaux d'entrée en impulsions délivrées à des intervalles de temps désirés utilisant une chaîne de dispositifs actifs de retard avec des transistors à effet de champ
  • H03L 7/081 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase avec un déphaseur commandé additionnel
  • H03K 5/00 - Transformation d'impulsions non couvertes par l'un des autres groupes principaux de la présente sous-classe

21.

Context-aware circuit design layout construct

      
Numéro d'application 17541171
Numéro de brevet 11868698
Statut Délivré - en vigueur
Date de dépôt 2021-12-02
Date de la première publication 2024-01-09
Date d'octroi 2024-01-09
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Tygert, Joshua David
  • Fales, Jonathan R.
  • Sengupta, Rwik
  • Pylant, Timothy H.

Abrégé

Various embodiments provide for context-aware circuit design layout construct, which may be part of electronic design automation (EDA). In particular, some embodiments enable use of a circuit design layout construct with a layout of a circuit design (hereafter, a circuit design layout), where a programmable pattern of layout shapes of the circuit design layout construct can be inserted into a circuit design layout and can be adapted based on context information associated with the location of its placement within the circuit design layout.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

22.

Method and system for optimizing a verification test regression

      
Numéro d'application 16708597
Numéro de brevet 11868241
Statut Délivré - en vigueur
Date de dépôt 2019-12-10
Date de la première publication 2024-01-09
Date d'octroi 2024-01-09
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Kinderman, Yael
  • Watanabe, Yosinori
  • Petracca, Michele
  • Avraham, Ido

Abrégé

A method for optimizing a verification regression includes obtaining data, by a processor, of previously executed runs of at least one verification regression session; extracting from the data, by the processor, values of one or a plurality of control knobs and values of one or a plurality verification metrics that were recorded during the execution for each of the previously executed runs of said at least one verification regression; finding, by the processor, correlation between said one or a plurality of the control knobs and each said one or a plurality of verification metrics, and generating a set of one or a plurality of control conditions based on the found correlation; and applying, by the processor, the generated set of one or a plurality of control conditions on the verification environment or on the DUT, or on both, to obtain a new verification regression session.

Classes IPC  ?

  • G06F 11/36 - Prévention d'erreurs en effectuant des tests ou par débogage de logiciel
  • G06N 20/00 - Apprentissage automatique

23.

Driver resizing using a transition-based pin capacitance increase margin

      
Numéro d'application 17219730
Numéro de brevet 11868695
Statut Délivré - en vigueur
Date de dépôt 2021-03-31
Date de la première publication 2024-01-09
Date d'octroi 2024-01-09
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Gao, Jhih-Rong
  • Ding, Yi-Xiao
  • Li, Zhuo

Abrégé

Aspects of the present disclosure address systems and methods for driver resizing using a transition-based capacitance increase margin. An integrated circuit (IC) design stored in a database in memory is accessed. The IC design comprises a net comprising a set of driver cells. A capacitance increase margin for resizing an initial driver cell is determined based on a total capacitance of the net and transition time target associated with the initial driver cell. An alternative driver cell is selected from a library to resize the initial driver cell and is used to replace the initial driver cell in the net. The alternative driver is selected such that a pin capacitance of the alternative driver cell exceeds an initial pin capacitance corresponding to the initial driver cell by no more than the capacitance increase margin.

Classes IPC  ?

  • G06F 30/337 - Optimisation de la conception
  • G06F 16/22 - Indexation; Structures de données à cet effet; Structures de stockage

24.

Systems and methods for distributed and parallelized emulation processor configuration

      
Numéro d'application 17576808
Numéro de brevet 11868786
Statut Délivré - en vigueur
Date de dépôt 2022-01-14
Date de la première publication 2024-01-09
Date d'octroi 2024-01-09
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Hung, Ngai Ngai William
  • Satapathy, Amiya Ranjan

Abrégé

Implementations may include a method of accelerated modification of an emulation processor system, by loading, by a first emulation processor, a first portion of processor instructions into one or more registers of the first emulation processor, in response to a selection of a first programming mode associated with the first emulation processor, and loading, by a second emulation processor operatively coupled with the first emulation processor, a second portion of the processor instructions into one or more registers of the second emulation processor, in response to a selection of a first programming mode associated with the second emulation processor.

Classes IPC  ?

  • G06F 9/44 - Dispositions pour exécuter des programmes spécifiques
  • G06F 9/445 - Chargement ou démarrage de programme
  • G06F 9/4401 - Amorçage
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

25.

METHOD AND SYSTEM TO FACILITATE REVIEW OF SCHEMATICS FOR AN ELECTRONIC DESIGN

      
Numéro d'application 17809898
Statut En instance
Date de dépôt 2022-06-30
Date de la première publication 2024-01-04
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Ginetti, Arnold

Abrégé

Disclosed is a method and system for visualizing schematic changes for an electronic design, where multiple schematic view interfaces are provided such that a first schematic interface displays an older schematic version and a second schematic interface displays a newer schematic version. Coordination is performed between the multiple schematic views such that an element within any of the first or second schematic views is appropriately highlighted based upon a user input.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 30/12 - CAO géométrique caractérisée par des moyens d’entrée spécialement adaptés à la CAO, p.ex. interfaces utilisateur graphiques [UIG] spécialement adaptées à la CAO

26.

System and method for routing in an electronic design

      
Numéro d'application 17477101
Numéro de brevet 11861277
Statut Délivré - en vigueur
Date de dépôt 2021-09-16
Date de la première publication 2024-01-02
Date d'octroi 2024-01-02
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Singh, Pratul Kumar

Abrégé

Embodiments include herein are directed towards a method for electronic circuit design. Embodiments may include enabling data transmission between plurality of protocol adapters, each of the protocol adapters including one ingress port and one egress port, wherein the ingress port of each of the plurality of protocol adapters maintains an active connection with a single egress port at one time. Embodiments may further include transmitting data between the plurality of protocol adapters using a distributed routing matrix that provides an interface between the plurality of protocol adapters.

Classes IPC  ?

  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]
  • G06F 30/347 - Niveau physique , p.ex. positionnement ou routage
  • G06F 115/10 - Processeurs

27.

Quantized softmax layer for neural networks

      
Numéro d'application 16443634
Numéro de brevet 11861452
Statut Délivré - en vigueur
Date de dépôt 2019-06-17
Date de la première publication 2024-01-02
Date d'octroi 2024-01-02
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Hsu, Ming Kai

Abrégé

Quantized softmax layers in neural networks are described. Some embodiments involve receiving, at an input to a softmax layer of a neural network from an intermediate layer of the neural network, a non-normalized output comprising a plurality of intermediate network decision values. Then for each intermediate network decision value of the plurality of intermediate network decision values, the embodiment involves: calculating a difference between the intermediate network decision value and a maximum network decision value; requesting, from a lookup table, a corresponding lookup table value using the difference between the intermediate network decision value and the maximum network decision value; and selecting the corresponding lookup table value as a corresponding decision value. A normalized output is then generated comprising the corresponding lookup table value for said each intermediate network decision value of the plurality of intermediate network decision values.

Classes IPC  ?

  • G06N 3/063 - Réalisation physique, c. à d. mise en œuvre matérielle de réseaux neuronaux, de neurones ou de parties de neurone utilisant des moyens électroniques
  • G10L 25/30 - Techniques d'analyses de la parole ou de la voix qui ne se limitent pas à un seul des groupes caractérisées par la technique d’analyse utilisant des réseaux neuronaux
  • G06F 17/18 - Opérations mathématiques complexes pour l'évaluation de données statistiques
  • G06N 3/047 - Réseaux probabilistes ou stochastiques

28.

Quantizing trained neural networks with removal of normalization

      
Numéro d'application 16727629
Numéro de brevet 11861492
Statut Délivré - en vigueur
Date de dépôt 2019-12-26
Date de la première publication 2024-01-02
Date d'octroi 2024-01-02
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Hsu, Ming Kai

Abrégé

Various embodiments provide for quantizing a trained neural network with removal of normalization with respect to at least one layer of the quantized neural network, such as a quantized multiple fan-in layer (e.g., element-wise add or sum layer).

Classes IPC  ?

29.

Method, product, and system for dynamic design switching for high performance mixed signal simulation

      
Numéro d'application 17457017
Numéro de brevet 11847392
Statut Délivré - en vigueur
Date de dépôt 2021-11-30
Date de la première publication 2023-12-19
Date d'octroi 2023-12-19
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Lin, Qingyu
  • O'Halloran, Patrick
  • Wang, Xiao

Abrégé

An approach is disclosed herein for dynamic design switching for high performance mixed signal simulation. Disclosed herein is a new approach to simulation processes that allows for different segments of a design to be swapped out without requiring re-elaboration. This is an improvement over current techniques and decreases the amount of time need to simulate a design. In some embodiments, the technique illustrated herein is combined with an automated triggering mechanism that controls the selection of alternate representations for the same element base on those triggers. In some embodiments a new multiplexor structure is provided that is specifically tailored to solving the present issue.

Classes IPC  ?

  • G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation
  • G06F 30/367 - Vérification de la conception, p.ex. par simulation, programme de simulation avec emphase de circuit intégré [SPICE], méthodes directes ou de relaxation
  • G06F 30/38 - Conception de circuits au niveau mixte des signaux analogiques et numériques
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

30.

Model-based simulation result predictor for circuit design

      
Numéro d'application 17503001
Numéro de brevet 11842130
Statut Délivré - en vigueur
Date de dépôt 2021-10-15
Date de la première publication 2023-12-12
Date d'octroi 2023-12-12
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Khatun, Saleha
  • Varghese, David
  • Ruehl, Roland

Abrégé

Various embodiments provide for predicting a simulation result for a circuit design using a machine learning model, which can be used as part of a process of an electronic design automation (EDA) system that measures a circuit design (e.g., timing, power, voltage, current, etc.). In particular, various embodiments described herein can enable modeling simulated time measurements of a circuit design, and can enable such modeling with minimal usage of simulation result data.

Classes IPC  ?

  • G06F 30/27 - Optimisation, vérification ou simulation de l’objet conçu utilisant l’apprentissage automatique, p.ex. l’intelligence artificielle, les réseaux neuronaux, les machines à support de vecteur [MSV] ou l’apprentissage d’un modèle
  • G06F 30/367 - Vérification de la conception, p.ex. par simulation, programme de simulation avec emphase de circuit intégré [SPICE], méthodes directes ou de relaxation

31.

LIVE OFFSET CANCELLATION OF THE DECISION FEEDBACK EQUALIZATION DATA SLICERS

      
Numéro d'application 18140142
Statut En instance
Date de dépôt 2023-04-27
Date de la première publication 2023-11-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Jalali, Mohammad Sadegh
  • Van Ierssel, Marcus

Abrégé

A receiver utilizes loop-unrolled decision feedback equalization (DFE). For each sample, two comparators, each configured with different thresholds, sample an input signal. The output of one of these comparators is selected and used as the output of the receiver and may be optionally input to additional DFE circuitry. The output of the other (non-selected) comparator is used to adjust an input offset voltage of that same comparator. Adjustments to the offset voltages of the comparators may be based on a statistical analysis of the respective outputs of the two comparators when not selected. Adjustments to the offset voltages of the comparators may be based on comparisons between the respective outputs of the two comparators when not selected to the outputs of a reference comparator that has been calibrated for minimal or zero offset.

Classes IPC  ?

  • H04L 25/03 - Réseaux de mise en forme pour émetteur ou récepteur, p.ex. réseaux de mise en forme adaptatifs

32.

System and method for monitoring compliance patterns

      
Numéro d'application 17678130
Numéro de brevet 11829276
Statut Délivré - en vigueur
Date de dépôt 2022-02-23
Date de la première publication 2023-11-28
Date d'octroi 2023-11-28
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Chhabriya, Kunal Amar
  • Arcudia Hernandez, Roque Alejandro
  • Mu, Xin

Abrégé

Embodiments include herein are directed towards a system and method for monitoring compliance patterns. Embodiments may include a re-timer device-under-test configured to transmit a truncated compliance pattern associated with a PCIe compliance mode. Embodiments may further include a BFM monitor configured to receive the truncated compliance pattern and to identify a communication signal associated with the truncated compliance pattern. The BFM monitor may be further configured to discard at least one unexpected symbol on at least one lane associated with the communication signal and to collect compliance patterns on all lanes of the communication signal. The BFM monitor may be further configured to align one or more lane FIFOs based upon skew and to enable one or more compliance pattern checkers.

Classes IPC  ?

  • G06F 11/34 - Enregistrement ou évaluation statistique de l'activité du calculateur, p.ex. des interruptions ou des opérations d'entrée–sortie
  • G06F 13/42 - Protocole de transfert pour bus, p.ex. liaison; Synchronisation
  • G06F 11/22 - Détection ou localisation du matériel d'ordinateur défectueux en effectuant des tests pendant les opérations d'attente ou pendant les temps morts, p.ex. essais de mise en route

33.

System, method, and computer program product for predicting pin placement in an electronic design

      
Numéro d'application 17007023
Numéro de brevet 11829852
Statut Délivré - en vigueur
Date de dépôt 2020-08-31
Date de la première publication 2023-11-28
Date d'octroi 2023-11-28
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Bhushan, Sai
  • Ahuja, Chirag

Abrégé

The present disclosure relates to a computer-implemented method for automatically determining pin placement associated with an electronic design. Embodiments may include receiving, using at least one processor, at least one layout associated with the electronic design and separating the at least one layout into one or more grids. Embodiments may also include extracting one or more connectivity features from the one or more grids, wherein the one or more connectivity features include instance-pin and pin information. Embodiments may also include training a machine learning model, based upon, at least in part, the one or more connectivity features and receiving the machine learning model and a test layout at a predictor engine. Embodiments may further include providing a user with a pin placement recommendation based upon, at least in part, the machine learning model and the test layout.

Classes IPC  ?

  • G06N 20/00 - Apprentissage automatique
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • G06F 30/33 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle
  • G06F 18/214 - Génération de motifs d'entraînement; Procédés de Bootstrapping, p.ex. ”bagging” ou ”boosting”

34.

High-bandwidth signal driver/receiver

      
Numéro d'application 16940679
Numéro de brevet 11831153
Statut Délivré - en vigueur
Date de dépôt 2020-07-28
Date de la première publication 2023-11-28
Date d'octroi 2023-11-28
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Bala, Phalguni
  • Karikatti, Manjunath
  • Mishra, Navin Kumar

Abrégé

A tuned single-coil inductor is implemented between a signal driver output and external contact of an ESD-protected integrated circuit (IC) die and more specifically between the parasitic capacitances of the signal driver and the contact-coupled ESD (electrostatic discharge) element to form a Pi (π) filter that enhances signaling bandwidth at the target signaling rate of the IC die. The signal driver may be implemented with output-stage data serialization circuitry disposed in series between source terminals of a thick-oxide drive transistor and a power rail to avoid explicit level-shifting circuitry between the relatively low core voltage domain and relatively high I/O voltage domain.

Classes IPC  ?

  • H02H 9/04 - Circuits de protection de sécurité pour limiter l'excès de courant ou de tension sans déconnexion sensibles à un excès de tension
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,
  • H03H 7/01 - Réseaux à deux accès sélecteurs de fréquence

35.

Low power current mode logic

      
Numéro d'application 17875608
Numéro de brevet 11831315
Statut Délivré - en vigueur
Date de dépôt 2022-07-28
Date de la première publication 2023-11-28
Date d'octroi 2023-11-28
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Udatha, Sambasiva Rao
  • Kandregula, Uma Suri Appa Rao

Abrégé

High-speed signal propagation circuits are biased by a temperature-compensating signal-swing calibrator to yield a target output signal amplitude across process, voltage and temperature corners, avoiding the power-consumptive over-biasing conventionally employed to avoid under-amplitude conditions in slow-process, low-voltage and/or high temperature conditions.

Classes IPC  ?

  • H03K 3/00 - Circuits pour produire des impulsions électriques; Circuits monostables, bistables ou multistables
  • H03K 3/011 - Modifications du générateur pour compenser les variations de valeurs physiques, p.ex. tension, température
  • H03K 5/02 - Mise en forme d'impulsions par amplification

36.

CIRCUIT AND METHOD TO SET DELAY BETWEEN TWO PERIODIC SIGNALS WITH UNKNOWN PHASE RELATIONSHIP

      
Numéro d'application 18320384
Statut En instance
Date de dépôt 2023-05-19
Date de la première publication 2023-11-23
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Wang, Robert
  • Li, Zhuobin
  • Yaghini, Navid
  • Yasotharan, Hemesh
  • Ting, Clifford

Abrégé

A circuit and method are provided for setting a phase relationship between a first signal and a second signal having a known frequency relationship to a master signal but having an unknown phase relationship to each other. One or more phase signals is generated based on the master signal, the phase signals having different phases from each other. One of these phase signals is selected based on the phase of the first signal and a target phase delay between the first signal and second signal. The second signal is generated based on the phase and frequency of the selected phase signal.

Classes IPC  ?

  • G06F 1/12 - Synchronisation des différents signaux d'horloge
  • G06F 1/08 - Générateurs d'horloge ayant une fréquence de base modifiable ou programmable
  • G06F 1/10 - Répartition des signaux d'horloge

37.

Method, product, and apparatus for a machine learning process using weight sharing within a systolic array having reduced memory bandwidth

      
Numéro d'application 16946670
Numéro de brevet 11823018
Statut Délivré - en vigueur
Date de dépôt 2020-06-30
Date de la première publication 2023-11-21
Date d'octroi 2023-11-21
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Hung, Ngai Ngai William
  • Liu, Yong
  • Zimmer, Michael Patrick

Abrégé

An approach is described for a method, product, and apparatus for a machine learning process using weight sharing within a systolic array having reduced memory bandwidth. According to some embodiments, this approach includes providing a systolic array that includes processing elements which each have some number of storage elements for storing weights. For example, the weights can be reused for different data sets by identifying/capturing a current state of the storage elements, generating a plan to transition to a target state of those storage elements, and application of the transition plan such that weights that are already stored in those storage elements can be reused and/or relocate. This lowers the bandwidth requirements for weight memory by allowing weights that have previously been read into the systolic array to be reused.

Classes IPC  ?

  • G06F 17/16 - Calcul de matrice ou de vecteur
  • G06N 20/00 - Apprentissage automatique
  • G06N 3/08 - Méthodes d'apprentissage
  • G06F 15/80 - Architectures de calculateurs universels à programmes enregistrés comprenant un ensemble d'unités de traitement à commande commune, p.ex. plusieurs processeurs de données à instruction unique

38.

SIGNALING COMPRESSION AND DECOMPRESSION ASSOCIATED WITH A PARTIALLY UNROLLED DECISION FEEDBACK EQUALIZER (DFE)

      
Numéro d'application 18142977
Statut En instance
Date de dépôt 2023-05-03
Date de la première publication 2023-11-09
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Nir, Ehud

Abrégé

Technologies for signaling compression inside a partially unrolled decision feedback equalizer (DFE) are described. The signaling compression associated with partially unrolled DFE results in multiplexers selecting a 1-bit output value from one of two 1-bit input values, which are decoding the actual multi-bit candidate levels and transforming the selected 1-bit output value to a multi-bit sliced value by adding to it a pointer value of a pulse-amplitude modulation (PAM) level. The signaling compression reduces the power and area of an N-tap DFE, where N is a positive integer.

Classes IPC  ?

  • H04L 25/03 - Réseaux de mise en forme pour émetteur ou récepteur, p.ex. réseaux de mise en forme adaptatifs
  • H04L 27/06 - Circuits de démodulation; Circuits récepteurs

39.

Voltage controlled oscillator (VCO) with adaptive temperature compensation

      
Numéro d'application 17983359
Numéro de brevet 11811362
Statut Délivré - en vigueur
Date de dépôt 2022-11-08
Date de la première publication 2023-11-07
Date d'octroi 2023-11-07
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Baldisserotto, Alberto
  • Varzaghani, Aida

Abrégé

Aspects of the present disclosure include systems and methods for temperature adaptive voltage controlled oscillators. In one example, a voltage controlled oscillator includes a cross junction circuit electrically coupled to a temperature dependent input current, and an inductor circuit electrically coupled to the cross junction circuit. The voltage controlled oscillator additionally includes a capacitor bank circuit electrically coupled to the inductor circuit, and an input node that receives a control voltage. The voltage controlled oscillator further includes an output node configured to provide an oscillation frequency output, wherein the oscillation frequency output is controlled by the control voltage.

Classes IPC  ?

  • H03B 5/04 - Modifications du générateur pour compenser des variations dans les grandeurs physiques, p.ex. alimentation, charge, température
  • H03L 7/099 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase concernant principalement l'oscillateur commandé de la boucle
  • H03L 1/00 - Stabilisation du signal de sortie du générateur contre les variations de valeurs physiques, p.ex. de l'alimentation en énergie
  • H03L 1/02 - Stabilisation du signal de sortie du générateur contre les variations de valeurs physiques, p.ex. de l'alimentation en énergie contre les variations de température uniquement

40.

Technique for Overriding Memory Attributes

      
Numéro d'application 17661427
Statut En instance
Date de dépôt 2022-04-29
Date de la première publication 2023-11-02
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Golla, Robert T.
  • Wicki, Thomas M.

Abrégé

Techniques are disclosed relating to an apparatus that includes a plurality of memory access control registers that are programmable with respective address ranges within an address space. The apparatus further includes a memory access circuit configured to receive a command for performing a memory access, the command specifying an address corresponding to a location in a memory circuit. In response to the address being located within an address range of a particular one of the plurality of memory access control registers, the memory access circuit is configured to perform the command using override memory parameters that have been programmed into the particular memory access control register instead of a default set of attributes for the address space.

Classes IPC  ?

  • G06F 12/0837 - Protocoles de cohérence de mémoire cache avec commande par logiciel, p.ex. données ne pouvant pas être mises en mémoire cache
  • G06F 12/0877 - Modes d’accès à la mémoire cache
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

41.

Prefetch Circuit for Cache Memory

      
Numéro d'application 17661394
Statut En instance
Date de dépôt 2022-04-29
Date de la première publication 2023-11-02
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Tvila, Avishai

Abrégé

A prefetch circuit coupled to a cache memory circuit includes a storage circuit that stores multiple virtual-to-physical address map entries. In response to receiving an indication of a miss for an access request to the cache memory circuit, the prefetch circuit generates a prefetch address and compares it to a demand address included in the access request. In response to determining that the demand address and the prefetch address are in different memory pages, the prefetch circuit generates a prefetch request using physical page information retrieved from the storage circuit.

Classes IPC  ?

  • G06F 12/0862 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec pré-lecture

42.

Queue Circuit For Controlling Access To A Memory Circuit

      
Numéro d'application 17661402
Statut En instance
Date de dépôt 2022-04-29
Date de la première publication 2023-11-02
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Golla, Robert T.
  • Smittle, Matthew B.

Abrégé

A queue circuit that manages access to a memory circuit in a computer system includes multiple sets of entries for storing access requests. The entries in one set of entries are assigned to corresponding sources that generate access requests to the memory circuit. The entries in the other set of entries are floating entries that can be used to store requests from any of the sources. Upon receiving a request from a particular source, the queue circuit checks the entry assigned to the particular source and, if the entry is unoccupied, the queue circuit stores the request in the entry. If, however, the entry assigned to the particular source is occupied, the queue circuit stores the request in one of the floating entries.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

43.

Method and systems for combining neural networks with genetic optimization in the context of electronic component placement

      
Numéro d'application 16666941
Numéro de brevet 11803760
Statut Délivré - en vigueur
Date de dépôt 2019-10-29
Date de la première publication 2023-10-31
Date d'octroi 2023-10-31
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Hogan, Taylor Elsom
  • Zumbo, Zachary Joseph

Abrégé

The present disclosure relates to applying genetic optimization to a routing strategy associated with an electronic design. Embodiments may include receiving pin and net information from an electronic design file and determining a minimum spanning tree for all pins associated with each net. Embodiments may include identifying pairs of connected pins and representing the pins as at least one line segment without layer information. Embodiments may include generating a crossing map based upon the line segments and assigning random layer information to each of the line segments. Embodiments may further include performing crossover and mutation operations to the line segments using hyperparameters and evaluating a fitness of the line segments. Embodiments may also include instantiating vias based upon a layer to which the line segment was assigned.

Classes IPC  ?

  • G06F 30/394 - Routage
  • G06F 111/20 - CAO de configuration, p.ex. conception par assemblage ou positionnement de modules sélectionnés à partir de bibliothèques de modules préconçus
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion
  • G06N 3/086 - Méthodes d'apprentissage en utilisant les algorithmes évolutionnaires, p.ex. les algorithmes génétiques ou la programmation génétique

44.

Relative placement by application of layered abstractions

      
Numéro d'application 17493550
Numéro de brevet 11803684
Statut Délivré - en vigueur
Date de dépôt 2021-10-04
Date de la première publication 2023-10-31
Date d'octroi 2023-10-31
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Fales, Jonathan R.
  • Tygert, Joshua David

Abrégé

Various embodiments described herein provide for a method and system for relative placement of components for a circuit layout by retrieving a data structure of a first circuit design, the data structure including a location of each component, determining a component characteristic for each component, and selecting a first group of two or more components having a shared component characteristic. Additionally, the method and system can instantiate a second circuit design and retrieve the data structure after the second circuit design is instantiated. The method and system include, for the second circuit design, calculating a first scaling factor and scaling each of the components of the first group from the first circuit design and placing the first group at a location in the second circuit design corresponding to location of the first group within the first circuit design.

Classes IPC  ?

  • G06F 30/00 - Conception assistée par ordinateur [CAO]
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/3947 - Routage global
  • G06F 30/39 - Conception de circuits au niveau physique
  • H04L 41/0897 - Capacité à monter en charge au moyen de ressources horizontales ou verticales, ou au moyen d’entités de migration, p.ex. au moyen de ressources ou d’entités virtuelles
  • G06T 3/40 - Changement d'échelle d'une image entière ou d'une partie d'image

45.

Interactive cross-section parameterized cell for wire in circuit design

      
Numéro d'application 17573380
Numéro de brevet 11803687
Statut Délivré - en vigueur
Date de dépôt 2022-01-11
Date de la première publication 2023-10-31
Date d'octroi 2023-10-31
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Herth, Peter
  • Burdick, Thomas

Abrégé

Various embodiments provide for a cross-section parameterized cell, which can enable a user to visualize and interactively define or modify one or more wire instances and related elements/structure of a circuit design from an elevation view (or a side view).

Classes IPC  ?

  • G06F 30/394 - Routage
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits

46.

Managing multiple cache memory circuit operations

      
Numéro d'application 17660775
Numéro de brevet 11960400
Statut Délivré - en vigueur
Date de dépôt 2022-04-26
Date de la première publication 2023-10-26
Date d'octroi 2024-04-16
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Golla, Robert T.
  • Smittle, Matthew B.

Abrégé

A cache memory circuit capable of dealing with multiple conflicting requests to a given cache line is disclosed. In response to receiving an acquire request for the given cache line from a particular lower-level cache memory circuit, the cache memory circuit sends probe requests regarding the given cache line to other lower-level cache memory circuits. In situations where a different lower-level cache memory circuit is simultaneously trying to evict the given cache line at the particular lower-level cache memory circuit is trying to obtain a copy of the cache line, the cache memory circuit performs a series of operations to service both requests and ensure that the particular lower-level cache memory circuit receives a copy of the given cache line that includes any changes in the evicted copy of the given cache line.

Classes IPC  ?

  • G06F 12/0811 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement avec hiérarchies de mémoires cache multi-niveaux

47.

Identifying redundant logic based on clock gate enable condition

      
Numéro d'application 17410837
Numéro de brevet 11797747
Statut Délivré - en vigueur
Date de dépôt 2021-08-24
Date de la première publication 2023-10-24
Date d'octroi 2023-10-24
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Eaton, Matthew David
  • Taylor, George Simon
  • Li, Zhuo
  • Youren, James
  • Xu, Ji

Abrégé

Various embodiments provide for determining redundant logic in a circuit design based on one or more enable conditions of clock gates, which can be part of electronic design automation (EDA). In particular, some embodiments use one or more enable conditions (of the clock gates) with a satisfiability solver to determine redundant logic coupled to clock circuit elements gated by the clock gates.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 117/04 - Portillonnage d’horloge

48.

Load-Store Unit Dual Tags and Replays

      
Numéro d'application 17659569
Statut En instance
Date de dépôt 2022-04-18
Date de la première publication 2023-10-19
Propriétaire Cadence Design Systems, Inc (USA)
Inventeur(s)
  • Golla, Robert T.
  • Ingle, Ajay A.

Abrégé

Techniques are disclosed relating to a processor load-store unit. In some embodiments, the load-store unit is configured to execute load/store instructions in parallel using first and second pipelines and first and second tag memory arrays. In tag write conflict situations, the load-store unit may arbitrate between the first and second pipelines to ensure the first and second tag memory array contents remain identical. In some embodiments, a data cache tag replay scheme is utilized. In some embodiments, executing load/store instructions in parallel with fills, probes, and store-updates, using separate but identical tag memory arrays, may advantageously improve performance.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

49.

System and method for routing in an electronic design

      
Numéro d'application 17532087
Numéro de brevet 11790147
Statut Délivré - en vigueur
Date de dépôt 2021-11-22
Date de la première publication 2023-10-17
Date d'octroi 2023-10-17
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Liu, Hongzhou
  • Mudiarasan, Rahaprian Premavathi
  • Ghosh, Sandipan
  • Xu, Hui
  • Lin, Chris (shyh-Chang)
  • Baudhuin, Joshua
  • Pyke, Ron
  • Lin, Juno
  • You, Allen
  • Liu, Yu
  • Zhang, Jiulong
  • Richards, Thomas

Abrégé

Embodiments include herein are directed towards a method for electronic circuit design. Embodiments may include receiving, using a processor, an electronic design library including a plurality of design rules. Embodiments may include generating a routing graph, based upon, at least in part, the plurality of design rules, wherein the routing graph is a virtual representation of all of the available routing space for all routing layers associated with an electronic design. Embodiments may further include dynamically updating the routing graph at a graphical user interface, based upon, at least in part, a creation of a routing segment or a via at the graphical user interface.

Classes IPC  ?

  • G06F 30/3953 - Routage détaillé
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 30/3947 - Routage global
  • G06F 30/394 - Routage

50.

System and method for tracing nets across multiple fabrics in an electronic design

      
Numéro d'application 17496660
Numéro de brevet 11790149
Statut Délivré - en vigueur
Date de dépôt 2021-10-07
Date de la première publication 2023-10-17
Date d'octroi 2023-10-17
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Deshpande, Devendra Ramakant
  • Gustave Ginetti, Arnold Jean Marie
  • Campana, Fabien
  • Singh, Harpreet
  • Singh, Tapan Kumar

Abrégé

Embodiments include herein are directed towards a method for electronic circuit design is provided. Embodiments may include allowing, at a graphical user interface, a user to initiate a co-design mode associated with an electronic design. Embodiments may further include allowing, at the GUI, the user to select a shape to trace connectivity from. Embodiments may also include tracing the connectivity of the shape across one or more overlaps and identifying one or more pins associated with the connectivity. Embodiments may further include determining a correct pin from an instance associated with the connectivity and displaying the connectivity at the GUI.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 30/12 - CAO géométrique caractérisée par des moyens d’entrée spécialement adaptés à la CAO, p.ex. interfaces utilisateur graphiques [UIG] spécialement adaptées à la CAO
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/394 - Routage
  • G06F 111/02 - CAO dans un environnement de réseau, p.ex. CAO coopérative ou simulation distribuée

51.

Continuous time linear equalizer with active inductor

      
Numéro d'application 17896915
Numéro de brevet 11777491
Statut Délivré - en vigueur
Date de dépôt 2022-08-26
Date de la première publication 2023-10-03
Date d'octroi 2023-10-03
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Biswas, Riju

Abrégé

Various embodiments provide for a continuous time linear equalizer (CTLE) that includes an active inductor, which can be included in a receiver portion of a circuit. For some embodiments, the CTLE in combination with the active inductor can implement a signal transfer function comprising at least two zeros and two poles.

Classes IPC  ?

  • H03K 17/56 - Commutation ou ouverture de porte électronique, c. à d. par d'autres moyens que la fermeture et l'ouverture de contacts caractérisée par l'utilisation de composants spécifiés par l'utilisation, comme éléments actifs, de dispositifs à semi-conducteurs
  • H04L 27/01 - Egaliseurs

52.

Cell instance charge model for delay calculation

      
Numéro d'application 17713004
Numéro de brevet 11775719
Statut Délivré - en vigueur
Date de dépôt 2022-04-04
Date de la première publication 2023-10-03
Date d'octroi 2023-10-03
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Keller, Igor
  • Dong, Xiaopeng
  • Rajguru, Sourabh

Abrégé

Various embodiments provide a charge model for a cell instance for delay calculation of a circuit design that includes the cell instance, where the charge model can be part of electronic design automation (EDA) and used in timing analysis of a circuit design that includes the cell instance. The charge model generated by an embodiment can predict a charge at an input of a cell instance for an arbitrary input voltage waveform and can address (e.g., reduce or negate) a time delay impact the Miller effect has on the cell instance.

Classes IPC  ?

  • G06F 30/30 - Conception de circuits
  • G06F 30/3315 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle utilisant une analyse temporelle statique [STA]
  • G06F 119/12 - Analyse temporelle ou optimisation temporelle

53.

Methods, systems, and computer program products for efficiently implementing a 3D-IC

      
Numéro d'application 17364388
Numéro de brevet 11775723
Statut Délivré - en vigueur
Date de dépôt 2021-06-30
Date de la première publication 2023-10-03
Date d'octroi 2023-10-03
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Chen, Pinhong
  • Deng, Liqun
  • Zhou, Ximing
  • Yang, Hanqi
  • Yu, Jieqian
  • Li, Fangfang

Abrégé

Disclosed is an improved approach for efficiently implementing a three-dimensional integrated circuit (3D-IC) design with heterogeneous and/or homogeneous dies. A first die design and a second die design in a three-dimensional (3D) electronic design maybe identified, and a wrapper design may be generated for at least a block of circuit component designs in the second die design for concurrent implementation of both the first and the second die designs. Both the first and the second dies of the 3D electronic design are concurrently implemented based at least upon a floorplan that is generated with at least the wrapper design for the 3D electronic design. A first wrapper and a second wrapper may be respectively generated for the first die design and the second die design based at least in part upon a result of the concurrent implementation.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 30/396 - Arbres d’horloge

54.

System, method, and computer program product for augmented reality circuit design

      
Numéro d'application 17145960
Numéro de brevet 11763050
Statut Délivré - en vigueur
Date de dépôt 2021-01-11
Date de la première publication 2023-09-19
Date d'octroi 2023-09-19
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Warren, Nicholas Claude
  • Noseworthy, Matthew
  • Cadigan, Liam
  • Day, Darryl Frank
  • Shah, Mihir Milan

Abrégé

Embodiments include herein are directed towards a method for use in an electronic design environment is provided. Embodiments may include receiving, at a client electronic device, work instructions corresponding to an electronic circuit. Embodiments may further include displaying a graphical representation of the electronic circuit at a display screen associated with the client electronic device and displaying at least one instruction at the display screen, wherein displaying includes highlighting a component of the electronic circuit at the display screen.

Classes IPC  ?

  • G06F 30/30 - Conception de circuits
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 111/02 - CAO dans un environnement de réseau, p.ex. CAO coopérative ou simulation distribuée
  • G06F 115/12 - Cartes de circuits imprimés [PCB] ou modules multi-puces [MCM]
  • G06F 111/18 - TRAITEMENT ÉLECTRIQUE DE DONNÉES NUMÉRIQUES - Détails concernant les techniques de conception assistée par ordinateur utilisant la réalité virtuelle ou augmentée

55.

Digital phase-locked loop circuit

      
Numéro d'application 17692246
Numéro de brevet 11757458
Statut Délivré - en vigueur
Date de dépôt 2022-03-11
Date de la première publication 2023-09-12
Date d'octroi 2023-09-12
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Anavangot, Vineeth
  • Biswas, Riju

Abrégé

In some examples, a digital phase-locked loop (PLL) circuit can include a switch to provide a reference input signal having a first frequency in response to an output signal having a second frequency that is greater than the first frequency. The circuit includes a comparator to provide a series of bits based on the reference input signal and a comparator reference signal, and proportional accumulator circuits to provide during respective different time intervals a proportional bit based on a respective bit of the series of bits and a previously outputted proportional bit by a respective proportional accumulator circuit. The circuit includes shift registers to shift the respective bit of the series to provide a shifted bit during the respective different time intervals, and a cancellation circuit to output a filtered proportional bit during the respective different time intervals based on the proportional bit and the shifted bit.

Classes IPC  ?

  • H03D 3/24 - Modifications de démodulateurs pour rejeter ou supprimer des variations d'amplitude au moyen de circuits oscillateurs verrouillés
  • H03L 7/18 - Synthèse de fréquence indirecte, c. à d. production d'une fréquence désirée parmi un certain nombre de fréquences prédéterminées en utilisant une boucle verrouillée en fréquence ou en phase en utilisant un diviseur de fréquence ou un compteur dans la boucle
  • H03L 7/099 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase concernant principalement l'oscillateur commandé de la boucle
  • H04L 7/033 - Commande de vitesse ou de phase au moyen des signaux de code reçus, les signaux ne contenant aucune information de synchronisation particulière en utilisant les transitions du signal reçu pour commander la phase de moyens générateurs du signal de synchronisation, p.ex. en utilisant une boucle verrouillée en phase

56.

Converting analog variable delay in real number modeling code to cycle-driven simulation interface code

      
Numéro d'application 15718775
Numéro de brevet 11748539
Statut Délivré - en vigueur
Date de dépôt 2017-09-28
Date de la première publication 2023-09-05
Date d'octroi 2023-09-05
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Turbovich, Ophir
  • Watanabe, Yosinori

Abrégé

A method and a system for converting a variable delay in real number modeling code to cycle-driven simulation interface event for digital/mixed signal emulation is provided. The method comprises identifying a variable delay of an analog signal in real number modeling code defining an analog circuit; determining a frequency and a maximum number of cycles for a series of discrete clock cycles, wherein the variable delay corresponds to one cycle in the series of discrete clock cycles; converting the variable delay into a plurality of cycle-driven discrete events based on the series of discrete clock cycles; and generating synthesizable code based on the plurality of cycle-driven discrete events for digital mixed signal emulation. A system and a non-transitory computer readable medium to perform the above method are also provided.

Classes IPC  ?

  • G06F 30/33 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle

57.

Signal receiver with skew-tolerant strobe gating

      
Numéro d'application 17845034
Numéro de brevet 11749323
Statut Délivré - en vigueur
Date de dépôt 2022-06-21
Date de la première publication 2023-09-05
Date d'octroi 2023-09-05
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Purohit, Neeraj
  • Mishra, Navin
  • Shelke, Anirudha

Abrégé

A gating signal for masking overhead transitions in a data-strobe signal is generated adaptively based on timing events in the incoming data-strobe signal itself to yield a gating window that opens and closes deterministically with respect to active edges of the data-strobe signal.

Classes IPC  ?

  • G11C 7/22 - Circuits de synchronisation ou d'horloge pour la lecture-écriture [R-W]; Générateurs ou gestion de signaux de commande pour la lecture-écriture [R-W]
  • G11C 7/10 - Dispositions d'interface d'entrée/sortie [E/S, I/O] de données, p.ex. circuits de commande E/S de données, mémoires tampon de données E/S
  • H03K 5/135 - Dispositions ayant une sortie unique et transformant les signaux d'entrée en impulsions délivrées à des intervalles de temps désirés par l'utilisation de signaux de référence de temps, p.ex. des signaux d'horloge

58.

System and method for glitch power estimation

      
Numéro d'application 17572836
Numéro de brevet 11748534
Statut Délivré - en vigueur
Date de dépôt 2022-01-11
Date de la première publication 2023-09-05
Date d'octroi 2023-09-05
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Wilcox, Steev
  • Fernandes, Daniel

Abrégé

Embodiments include herein are directed towards a system and method for estimating glitch power associated with an emulation process is provided. Embodiments may include accessing, using a processor, information associated with an electronic design database and generating cycle accurate waveform information at each node of a netlist based upon, at least in part, a portion of the electronic design database. Embodiments may further include generating a probability-based model for a plurality of inputs associated with the netlist and determining one or more partial glitch transitions from each probability-based model. Embodiments may also include combining the one or more partial glitch transitions with the cycle accurate waveform information to obtain a glitch power estimation.

Classes IPC  ?

  • G06F 30/323 - Traduction ou migration, p.ex. logique à logique, traduction de langage descriptif de matériel ou traduction de liste d’interconnections [Netlist]
  • G06F 111/20 - CAO de configuration, p.ex. conception par assemblage ou positionnement de modules sélectionnés à partir de bibliothèques de modules préconçus
  • G06F 111/08 - CAO probabiliste ou stochastique
  • G06F 119/06 - Analyse de puissance ou optimisation de puissance

59.

Efficient storage of error correcting code information

      
Numéro d'application 18110737
Numéro de brevet 11934269
Statut Délivré - en vigueur
Date de dépôt 2023-02-16
Date de la première publication 2023-08-31
Date d'octroi 2024-03-19
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Kedia, Amit
  • Kariya, Kartik Dayalal
  • Menon, Sreeja
  • Woo, Steven C.

Abrégé

Multiple independent point-to-point memory channels are operated, by at least one controller, in parallel to form a wider memory channel. The memory components on these point-to-point channels include the ability to connect to multiple (e.g., 2) instances of these independent memory channels. The controller operates multiple instances of the wider channels with the memory components configured in a clamshell mode. A single memory component is also operated in clamshell mode to provide error correction code information, independently of the other wider channels, to multiple instances of the wider memory channel.

Classes IPC  ?

  • G06F 11/10 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p.ex. en utilisant des codes de contrôle en ajoutant des chiffres binaires ou des symboles particuliers aux données exprimées suivant un code, p.ex. contrôle de parité, exclusion des 9 ou des 11
  • G06F 11/00 - Détection d'erreurs; Correction d'erreurs; Contrôle de fonctionnement
  • G06F 13/00 - Interconnexion ou transfert d'information ou d'autres signaux entre mémoires, dispositifs d'entrée/sortie ou unités de traitement
  • G06F 13/40 - Structure du bus

60.

Diagnosing multicycle faults and/or defects with single cycle ATPG test patterns

      
Numéro d'application 17366227
Numéro de brevet 11740284
Statut Délivré - en vigueur
Date de dépôt 2021-07-02
Date de la première publication 2023-08-29
Date d'octroi 2023-08-29
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Chokhani, Arvind
  • Swenton, Joseph Michael
  • Amodeo, Martin Thomas

Abrégé

An integrated circuit (IC) test engine generates single cycle test patterns for testing for candidate faults and/or defects of a first set of static faults and/or defects of an IC design. A diagnostics engine receives single cycle test result data characterizing application of the single cycle test patterns to a fabricated IC chip based on the IC design and fault-simulates a subset of the single cycle test patterns against a fault model that includes multicycle faults and/or defects utilizing sim-shifting to diagnose a second set of static faults and/or defects in the fabricated IC chip that are only detectable with multicycle test patterns. The diagnostics engine further scores candidate faults and/or defects in the first set of static faults and/or defects and the second set of static faults and/or defects for applicable test patterns to determine a most likely fault and/or defect present in the fabricated IC chip.

Classes IPC  ?

  • G01R 31/3177 - Tests de fonctionnement logique, p.ex. au moyen d'analyseurs logiques
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits

61.

FRAGMENTED PERIODIC TIMING CALIBRATION

      
Numéro d'application 17892262
Statut En instance
Date de dépôt 2022-08-22
Date de la première publication 2023-08-24
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Kariya, Kartik Dayalal
  • Menon, Sreeja

Abrégé

Periodic signal timing calibration is implemented in time-distributed fragments executed concurrently with occasional system-idling maintenance operations to maintain reliable synchronous communication between interconnected system components without impacting system availability.

Classes IPC  ?

  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire

62.

Digitally-controlled quadrature correction loop

      
Numéro d'application 17305578
Numéro de brevet 11736230
Statut Délivré - en vigueur
Date de dépôt 2021-07-09
Date de la première publication 2023-08-22
Date d'octroi 2023-08-22
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Mekky, Rania Hassan Abdellatif Abdelrahim
  • Delage, Jean-Francois
  • Fortin, Guillaume

Abrégé

A method and system for performing a duty cycle correction and quadrature error correction for a quarter-rate architecture TX/RX communication system, including correcting a duty cycle error between a first clock signal and a second clock signal, and correcting a quadrature error between a third clock signal and a fourth clock signal.

Classes IPC  ?

  • H04L 1/00 - Dispositions pour détecter ou empêcher les erreurs dans l'information reçue
  • H03K 19/017 - Modifications pour accélérer la commutation dans les circuits à transistor à effet de champ
  • H03K 19/0185 - Dispositions pour le couplage; Dispositions pour l'interface utilisant uniquement des transistors à effet de champ
  • H03K 19/21 - Circuits OU EXCLUSIF, c. à d. donnant un signal de sortie si un signal n'existe qu'à une seule entrée; Circuits à COÏNCIDENCES, c. à d. ne donnant un signal de sortie que si tous les signaux d'entrée sont identiques
  • H04L 7/00 - Dispositions pour synchroniser le récepteur avec l'émetteur
  • H04L 27/38 - Circuits de démodulation; Circuits récepteurs
  • H04L 1/1607 - Dispositions pour détecter ou empêcher les erreurs dans l'information reçue en utilisant un canal de retour dans lesquelles le canal de retour transporte des signaux de contrôle, p.ex. répétition de signaux de demande - Détails du signal de contrôle
  • H04L 7/033 - Commande de vitesse ou de phase au moyen des signaux de code reçus, les signaux ne contenant aucune information de synchronisation particulière en utilisant les transitions du signal reçu pour commander la phase de moyens générateurs du signal de synchronisation, p.ex. en utilisant une boucle verrouillée en phase
  • H04L 27/00 - Systèmes à porteuse modulée

63.

Routing congestion based on fractional via cost and via density

      
Numéro d'application 17314932
Numéro de brevet 11734485
Statut Délivré - en vigueur
Date de dépôt 2021-05-07
Date de la première publication 2023-08-22
Date d'octroi 2023-08-22
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Posser, Gracieli
  • Liu, Derong
  • Yildiz, Mehmet Can
  • Li, Zhuo

Abrégé

Various embodiments provide for routing a circuit design using routing congestion based on fractional via cost, via density, or both in view of one or more design rules. For instance, some embodiments model via cost based on one or more design rules to determine routing congestion, where routing demand (e.g., routing capacity occupied by) of a via is fractional to the amount of the track blocked by the via. Additionally, some embodiments apply via density modeling based on one or more design rules to determine a routing demand of a via for routing congestion.

Classes IPC  ?

  • G06F 30/394 - Routage
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

64.

Method and system for saving and restoring of initialization actions on dut and corresponding test environment

      
Numéro d'application 17076850
Numéro de brevet 11719749
Statut Délivré - en vigueur
Date de dépôt 2020-10-22
Date de la première publication 2023-08-08
Date d'octroi 2023-08-08
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Annepu, Tirumala Surya Prasad
  • Fuss, Shai
  • Kirshenbaum, Zeev

Abrégé

A computer implemented method may include executing a first simulation test for testing a device under test (DUT) and a corresponding test environment; saving a snapshot image of the DUT and of the corresponding test environment upon completion of initialization actions included in the first simulation test to configure the DUT; compiling a DUT part of a second simulation test into the saved snapshot image of the DUT to obtain a restore image for the DUT; loading the restore image of the DUT and restoring the snapshot image of the test environment; loading a test environment part of the second simulation test; and executing the second simulation test on the DUT and corresponding test environment.

Classes IPC  ?

65.

System and method for memory management

      
Numéro d'application 17536440
Numéro de brevet 11720287
Statut Délivré - en vigueur
Date de dépôt 2021-11-29
Date de la première publication 2023-08-08
Date d'octroi 2023-08-08
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Maclaren, John Michael

Abrégé

Embodiments include herein are directed towards a double data rate (“DDR”) controller system. Embodiments may include a plurality of read data buffers, wherein each of the plurality of read data buffers is configured for read data storage and is of a same size. Embodiments may further include a port read response queue that stores information corresponding to an incoming read and a command queue configured to receive read data buffer state information from the port read response queue. Embodiments may also include a read data buffer allocation tracker configured to track a state of each of the plurality of read data buffers.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

66.

Device and method for low-latency and encrypted hardware layer communication

      
Numéro d'application 17399953
Numéro de brevet 11722291
Statut Délivré - en vigueur
Date de dépôt 2021-08-11
Date de la première publication 2023-08-08
Date d'octroi 2023-08-08
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Ho, Steven
  • Krishnamurthy, Gopi
  • Mathew, Anish

Abrégé

A method of low-latency and encrypted hardware layer communication includes calculating, by an encryption circuit of a communication bridge controller, a pre-calculated encryption keys corresponding to a block encryptor of the encryption circuit, each block encryptor configured to use a corresponding pre-calculated encryption key to encrypt a corresponding unencrypted data block of a data transmission having one or more unencrypted data blocks, storing the one or more pre-calculated encryption keys in an encryption key memory associated with the communication bridge, for each unecrypted data block, encrypting the unencrypted data block using the corresponding pre-calculated encryption key to generate an encrypted data block and an authentication code block for the unencrypted data block, aggregating one or more encrypted data blocks into an encrypted data transmission, and generating an authenticated code corresponding to the encrypted data transmission based upon each of the authentication code blocks of each of the encrypted data blocks.

Classes IPC  ?

  • H04L 9/06 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité l'appareil de chiffrement utilisant des registres à décalage ou des mémoires pour le codage par blocs, p.ex. système DES
  • H04L 9/08 - Répartition de clés

67.

System and method for consolidating and applying manufacturing constraints

      
Numéro d'application 17160687
Numéro de brevet 11714948
Statut Délivré - en vigueur
Date de dépôt 2021-01-28
Date de la première publication 2023-08-01
Date d'octroi 2023-08-01
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Bhattacharyya, Utpal
  • Lawson, Randall Scott
  • Acheson, Edward Brian
  • Sharma, Amit

Abrégé

The present disclosure relates to a system and method for use in an electronic circuit design. Embodiments may include receiving, using a processor, one or more DFM rules files from at least one PCB fabricator and importing the one or more DFM rules files to a DFM rule aggregator database. Embodiments may also include grouping one or more rules associated with the one or more DFM rules files using an automated or manual operation. Embodiments may further include performing automatic or manual rule aggregation on the grouped rules based upon, at least in part, rules aggregation information including a DFM template file.

Classes IPC  ?

  • G06F 7/50 - Addition; Soustraction
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 30/3323 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle utilisant des méthodes formelles, p.ex. vérification de l’équivalence ou vérification des propriétés
  • G06F 115/12 - Cartes de circuits imprimés [PCB] ou modules multi-puces [MCM]
  • G06F 111/16 - Personnalisation
  • G06F 111/04 - CAO basée sur les contraintes

68.

Pattern detection based parameter adaptation

      
Numéro d'application 18096661
Numéro de brevet 11881883
Statut Délivré - en vigueur
Date de dépôt 2023-01-13
Date de la première publication 2023-07-20
Date d'octroi 2024-01-23
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Wang, Nanyan
  • Van Ierssel, Marcus

Abrégé

An integrated circuit that includes a feedback loop to adapt receiver parameters. The feedback loop includes a receiver to sample a signal and produce a sampled signal sequence. The feedback loop also includes a first pattern counter to detect and count occurrences of a first pattern in the sampled signal sequence, and a second pattern counter to detect and count occurrences of a second pattern in the sampled signal sequence. Control circuitry coupled to the receiver adapts a parameter value of the receiver to minimize a difference between a first ratio and a second ratio. The first ratio is a target ratio. The second ratio is between a first counted number of occurrences of the first pattern in the sampled signal sequence and a second counted number of occurrences of the second pattern in the sample signal sequence.

Classes IPC  ?

69.

Method, product, and system for automated, guided, and region-based layer density balancing

      
Numéro d'application 17219675
Numéro de brevet 11687694
Statut Délivré - en vigueur
Date de dépôt 2021-03-31
Date de la première publication 2023-06-27
Date d'octroi 2023-06-27
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Lin, Yu-Chen
  • Chang, Yi-Ning
  • Lockman, Tyler James

Abrégé

An approach is disclosed herein for balancing layer densities in using an automated process. The approach disclosed herein operates on a region-by-region and layer-by-layer basis to perform parameterized layer balancing. In some embodiments, the process comprises determining densities of respective layers in respective regions, evaluating each layer and region to determine whether operations need to be taken to balance those layers in the corresponding regions, determining what those actions should be, and then implementing those actions. Additionally, in some embodiments, the process may operate in different orders and may be associated with a looping flow until a layout being processed has been balanced.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

70.

Method, product, and apparatus for a multidimensional processing array for hardware acceleration of convolutional neural network inference

      
Numéro d'application 16946674
Numéro de brevet 11687831
Statut Délivré - en vigueur
Date de dépôt 2020-06-30
Date de la première publication 2023-06-27
Date d'octroi 2023-06-27
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Hung, Ngai Ngai William
  • Goswami, Dhiraj
  • Zimmer, Michael Patrick
  • Liu, Yong

Abrégé

An approach includes receiving a machine learning processing job, executing the machine learning processing job using parallel processing of multiple output pixels each cycle by walking data across processing elements with broadcast weights within regions and executing parallel multiplication operations, and generating an output indicating whether the machine learning processing job was successful or failed. In some embodiments, a schedule of actions is generated for respective machine learning processing jobs. The schedule of actions may include any of a plurality of shift operations in a many to many arrangement or a one to many arrangement, shifting data across region boundaries, fetching data and weights from a memory and distribution thereof to a plurality of regions (e.g., weights are distributed to respective weight memories which subsequently broadcasts those weights in a specified order based on a schedule of actions, and where data is distributed to respective processing elements).

Classes IPC  ?

71.

Determining capacitance and resistance-capacitance time constant

      
Numéro d'application 17067347
Numéro de brevet 11674989
Statut Délivré - en vigueur
Date de dépôt 2020-10-09
Date de la première publication 2023-06-13
Date d'octroi 2023-06-13
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Summers, Mark A.
  • Babu Kunda, Rajesh

Abrégé

Various embodiments provide for determining a capacitance (or capacitor value) of a circuit, determining a resistance-capacitance time constant (or RC time constant) of a circuit, or both. The circuit can comprise an integrated circuit (IC), such as a circuit implemented on die. An IC of some embodiments generates a frequency of a dock wave signal (e.g., an output signal) such that the clock wave signal encodes an effective capacitance of the IC, a RC time constant of the IC, or both. A component external to the IC, such as a controller, can receive the clock wave signal and determine the effective capacitance of the IC, the RC time constant of the IC, or both based on the received clock wave signal.

Classes IPC  ?

  • G01R 27/26 - Mesure de l'inductance ou de la capacitance; Mesure du facteur de qualité, p.ex. en utilisant la méthode par résonance; Mesure de facteur de pertes; Mesure des constantes diélectriques

72.

Routing using rule-based blockage extension

      
Numéro d'application 17303052
Numéro de brevet 11675955
Statut Délivré - en vigueur
Date de dépôt 2021-05-19
Date de la première publication 2023-06-13
Date d'octroi 2023-06-13
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Liu, Derong
  • Posser, Gracieli
  • Yildiz, Mehmet Can
  • Li, Zhuo

Abrégé

Various embodiments provide for routing a net of a circuit design using rule-based routing blockage extension, which may be part of electronic design automation (EDA). In particular, some embodiments route a net of a circuit design by determining a dimension extension value based on a design rule of the circuit design and applying the dimension extension value to at least one existing routing blockage.

Classes IPC  ?

  • G06F 30/394 - Routage
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

73.

Method, product, and apparatus for a machine learning process leveraging input sparsity on a pixel by pixel basis

      
Numéro d'application 16946673
Numéro de brevet 11676068
Statut Délivré - en vigueur
Date de dépôt 2020-06-30
Date de la première publication 2023-06-13
Date d'octroi 2023-06-13
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Zimmer, Michael Patrick
  • Hung, Ngai Ngai William
  • Liu, Yong
  • Goswami, Dhiraj

Abrégé

An approach includes a method, product, and apparatus for dynamically removing sparse data on a pixel by pixel basis. In some embodiments, a machine learning processing job is received. The machine learning processing job is then executed on a pixel by pixel basis by selecting non-zero data values for input into a systolic array, wherein sparse data is not selected for input into the systolic array. Subsequently, a message is generated that provides an indication of whether the execution completed successfully. In some embodiments, the machine learning processing job comprises at least a plurality of multiply and accumulate operations. In some embodiments, at least one data value equal to zero for the machine learning processing job is not input into a systolic array. In some embodiments, a plurality of weights are input into a plurality of columns for each cycle.

Classes IPC  ?

74.

Sampler with built-in DFE and offset cancellation

      
Numéro d'application 17752829
Numéro de brevet 11677593
Statut Délivré - en vigueur
Date de dépôt 2022-05-24
Date de la première publication 2023-06-13
Date d'octroi 2023-06-13
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Kumar, Vinod
  • Wilson, Thomas Evan

Abrégé

Various embodiments provide for a data sampler with built-in decision feedback equalization (DFE) and offset cancellation. For some embodiments, two or more data samplers described herein can be used to implement a data signal receiver circuit, which can use those two or more data samplers to facilitate half-rate or quarter-rate data sampling.

Classes IPC  ?

  • H04L 25/03 - Réseaux de mise en forme pour émetteur ou récepteur, p.ex. réseaux de mise en forme adaptatifs

75.

Systems and methods of buffering and accessing input data for convolution computations

      
Numéro d'application 16433533
Numéro de brevet 11669725
Statut Délivré - en vigueur
Date de dépôt 2019-06-06
Date de la première publication 2023-06-06
Date d'octroi 2023-06-06
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Raja, Ananda Sarangaram Tharma Ranga
  • Nikam, Prasad
  • Divyakumar, N D
  • Singhal, Himanshu
  • Pawar, Vijay
  • Ghanekar, Sachin P.

Abrégé

Using a buffer sized according to the size of the filters of a convolutional neural network (CNN), a processor may use a read pointer to generate a two-dimensional virtual matrix of inputs. The number of inputs in each row in the two-dimensional virtual matrix of inputs may match the one-dimensional filter size of the cubic filters. The processor may collapse each of the cubic filters to one-dimensional linear arrays and generate a two-dimensional filter matrix from the one-dimensional linear arrays. The convolution computations for a corresponding layer of the CNN therefore reduce to a single matrix multiplication without any memory movement operations. When the buffer is refreshed using a new input frame, the processor may increment the initial read address of each read pointer by one and increment the final read address by one, circling back to the corresponding initial read address.

Classes IPC  ?

76.

System and method for memory management

      
Numéro d'application 17526090
Numéro de brevet 11663149
Statut Délivré - en vigueur
Date de dépôt 2021-11-15
Date de la première publication 2023-05-30
Date d'octroi 2023-05-30
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Maclaren, John Michael
  • Shepherd, Thomas Joseph
  • Raghu, Davika

Abrégé

Embodiments include herein are directed towards a dynamic random access memory system. Embodiments may include a command queue that is configured to hold all commands that are currently selectable for bank operation and execution. Embodiments may further include bank logic operatively connected with the command queue. The bank logic may include a bank management module and a plurality of bank slices, wherein each of the plurality of bank slices is an independent, re-assignable bank tracking module.

Classes IPC  ?

  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire
  • G06F 12/0882 - Mode de page
  • G06F 12/0871 - Affectation ou gestion d’espace de mémoire cache
  • G06F 12/02 - Adressage ou affectation; Réadressage

77.

Matched digital-to-analog converters

      
Numéro d'application 18070694
Numéro de brevet 11742874
Statut Délivré - en vigueur
Date de dépôt 2022-11-29
Date de la première publication 2023-05-25
Date d'octroi 2023-08-29
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Shivnaraine, Ravi
  • Van Ierssel, Marcus

Abrégé

A voltage ladder is used to generate reference voltages. The voltage ladder is used by multiple digital-to-analog converters (DACs). In particular, the voltage ladder is used by multiple pulse-width modulation (PWM) DACs. Having multiple DACs utilize a common voltage ladder for their reference voltages reduces mismatched output voltages between DACs. Having multiple DACs utilize the common voltage ladder helps ensure that the reference voltages used by different DACs are not affected by process, voltage, and/or temperature variations in the reference voltages that would occur when using different voltage ladders for each DAC.

Classes IPC  ?

  • H03M 1/66 - Convertisseurs numériques/analogiques
  • H03M 1/68 - Convertisseurs numériques/analogiques à conversions de sensibilités différentes, c. à d. qu'une conversion se rapportant aux bits les plus significatifs et une autre aux bits les moins significatifs

78.

Method, product, and apparatus for a machine learning process using dynamic rearrangement of sparse data and corresponding weights

      
Numéro d'application 16946672
Numéro de brevet 11651283
Statut Délivré - en vigueur
Date de dépôt 2020-06-30
Date de la première publication 2023-05-16
Date d'octroi 2023-05-16
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Liu, Yong
  • Hung, Ngai Ngai William
  • Zimmer, Michael Patrick

Abrégé

An approach is described for a method, product, and apparatus for a machine learning process using dynamic rearrangement of sparse data and corresponding weights. This approach includes a method, product, and apparatus for dynamically rearranging input data to move sparse data to a location such that computations on the sparse data might be avoided when executing a machine learning processing job. For example, sparse data within each row of the input matrix can be moved to the end of each corresponding row. When the input data is folded to fit the array, that sparse data might be at least partially contained within a fold that comprises only sparse data and possibly filler data. In such an event, computations on the fold are unnecessary and are avoided. In some embodiments, the approach includes dynamically rearranging a weight matrix to maintain a correspondence between the input data and the weights.

Classes IPC  ?

79.

Machine-learning based clustering for clock tree synthesis

      
Numéro d'application 17139657
Numéro de brevet 11645441
Statut Délivré - en vigueur
Date de dépôt 2020-12-31
Date de la première publication 2023-05-09
Date d'octroi 2023-05-09
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Jiang, Bentian
  • Viswanathan, Natarajan
  • Li, Zhuo
  • Ding, Yi-Xiao

Abrégé

Aspects of the present disclosure address systems and methods for performing a machine-learning based clustering of clock sinks during clock tree synthesis. An integrated circuit (IC) design comprising a clock net that includes a plurality of clock sinks is accessed. An initial number of clusters to generate from the set of clock sinks is determined using a machine-learning model. A first set of clusters is generated from the set of clocks sinks and includes the initial number of clusters. A timing analysis is performed to determine whether each cluster in the first set of clusters satisfies design rule constraints. The initial number of clusters is adjusted based on the timing analysis and a clustering solution is generated based on the adjusted number of clusters.

Classes IPC  ?

80.

Multi-stage equalizer for inter-symbol interference cancellation

      
Numéro d'application 17978422
Numéro de brevet 11876650
Statut Délivré - en vigueur
Date de dépôt 2022-11-01
Date de la première publication 2023-04-20
Date d'octroi 2024-01-16
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Choudhary, Prashant
  • Wang, Nanyang

Abrégé

An equalizer includes a first feed-forward stage that provides a measure of low-frequency ISI and a second feed-forward stage that includes a cascade of stages each making an ISI estimate. The ISI estimate from each stage is further equalized by application of the measures of low-frequency ISI from the first feed-forward stage and fed to the next in the cascade of stages. The ISI estimates from the stages thus become progressively more accurate. The number of stages applied to a given signal can be optimized to achieve a suitably low bit-error rate. Power is saved by disabling stages which are not required to meet that goal.

Classes IPC  ?

  • H04L 25/03 - Réseaux de mise en forme pour émetteur ou récepteur, p.ex. réseaux de mise en forme adaptatifs

81.

Failure mode analysis for circuit design

      
Numéro d'application 16673792
Numéro de brevet 11630938
Statut Délivré - en vigueur
Date de dépôt 2019-11-04
Date de la première publication 2023-04-18
Date d'octroi 2023-04-18
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Lorenzini, Stefano
  • Armato, Antonino

Abrégé

Various embodiments provide for failure mode analysis of a circuit design, which can be used as part of electronic design automation (EDA). In particular, some embodiments provide for failure mode analysis of a circuit design by determining a set of functional primitives of a circuit design component (e.g., cell at gate level) that contribute to a root cause logic for a specific failure mode.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 11/07 - Réaction à l'apparition d'un défaut, p.ex. tolérance de certains défauts
  • G05B 23/02 - Test ou contrôle électrique
  • G06F 11/00 - Détection d'erreurs; Correction d'erreurs; Contrôle de fonctionnement
  • G06F 30/394 - Routage
  • G06F 11/26 - Tests fonctionnels

82.

Constraint-based dynamic quantization adjustment for fixed-point processing

      
Numéro d'application 16131402
Numéro de brevet 11630982
Statut Délivré - en vigueur
Date de dépôt 2018-09-14
Date de la première publication 2023-04-18
Date d'octroi 2023-04-18
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Hsu, Ming Kai
  • Parikh, Sandip

Abrégé

Aspects of the present disclosure address systems and methods for fixed-point quantization using a dynamic quantization level adjustment scheme. Consistent with some embodiments, a method comprises accessing a neural network comprising floating-point representations of filter weights corresponding to one or more convolution layers. The method further includes determining a peak value of interest from the filter weights and determining a quantization level for the filter weights based on a number of bits in a quantization scheme. The method further includes dynamically adjusting the quantization level based on one or more constraints. The method further includes determining a quantization scale of the filter weights based on the peak value of interest and the adjusted quantization level. The method further includes quantizing the floating-point representations of the filter weights using the quantization scale to generate fixed-point representations of the filter weights.

Classes IPC  ?

  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion
  • G06N 3/08 - Méthodes d'apprentissage
  • G06F 7/544 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p.ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul
  • G06F 5/01 - Procédés ou dispositions pour la conversion de données, sans modification de l'ordre ou du contenu des données maniées pour le décalage, p.ex. la justification, le changement d'échelle, la normalisation

83.

Programmable fractional ripple divider

      
Numéro d'application 17727922
Numéro de brevet 11632119
Statut Délivré - en vigueur
Date de dépôt 2022-04-25
Date de la première publication 2023-04-18
Date d'octroi 2023-04-18
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Sarkar, Sudipta
  • Loizos, Dimitrios
  • Mohammadi Izad, Mehran
  • Lee, Paul
  • Mikes, Steven Elliott
  • Nagaraju, Manohar Bhavsar

Abrégé

Embodiments included herein are directed towards a fractional feedback divider circuit and associated method. The circuit may include a programmable feedback divider including a plurality of flip-flops arranged in series. The programmable feedback divider may be configured to receive an input clock signal and a reset signal comprising at least one pulse and to generate a divided clock. The circuit may include reset logic configured to receive an input from the programmable feedback divider and to generate a reset signal. The circuit may include a first D flip-flop configured to receive the reset signal and to generate an output and a second D flip-flop configured to receive the output from the first D flip-flop and to generate a second output. The circuit may further include a multiplexer configured to receive the second output and to generate an output clock signal.

Classes IPC  ?

  • H03K 23/00 - Compteurs d'impulsions comportant des chaînes de comptage; Diviseurs de fréquence comportant des chaînes de comptage
  • H03L 7/197 - Synthèse de fréquence indirecte, c. à d. production d'une fréquence désirée parmi un certain nombre de fréquences prédéterminées en utilisant une boucle verrouillée en fréquence ou en phase en utilisant un diviseur de fréquence ou un compteur dans la boucle une différence de temps étant utilisée pour verrouiller la boucle, le compteur comptant entre des nombres variables dans le temps ou le diviseur de fréquence divisant par un facteur variable dans le temps, p.ex. pour obtenir une division de fréquence
  • H03K 23/66 - Compteurs d'impulsions comportant des chaînes de comptage; Diviseurs de fréquence comportant des chaînes de comptage avec une base ou racine différente d'une puissance de deux avec une base de comptage variable, p.ex. par pré-réglage ou par addition ou suppression d'impulsions
  • H03K 23/58 - Signaux d'ouverture de porte ou d'horloge non appliqués à tous les étages, c. à d. compteurs asynchrones
  • H03K 23/48 - Signaux d'ouverture de porte ou d'horloge appliqués à tous les étages, c. à d. compteurs synchrones avec une base ou racine différente d'une puissance de deux

84.

Grouping cells in cell library based on clustering

      
Numéro d'application 17314942
Numéro de brevet 11625525
Statut Délivré - en vigueur
Date de dépôt 2021-05-07
Date de la première publication 2023-04-11
Date d'octroi 2023-04-11
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Li, Zhuo
  • Viswanathan, Natarajan
  • Bandeira, Vitor
  • Ding, Yi-Xiao

Abrégé

Various embodiments provide for clustering-based grouping of cells in a cell library, which can be used for pruning the cell library. In particular, various embodiments provide for a clustering-based grouping of cells in a cell library based on a criterion (or cell attribute), and for pruning of the cell library based on the grouping of cells, which can optimize the cell library for the criterion. For instance, some embodiments provide for a clustering-based grouping of cells based on leakage power and then applying cell library pruning to optimize for cell leakage power.

Classes IPC  ?

  • G06F 30/30 - Conception de circuits
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/337 - Optimisation de la conception
  • G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation
  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]
  • G06F 117/10 - Insertion de mémoire tampon
  • G06F 119/06 - Analyse de puissance ou optimisation de puissance

85.

High speed differential input single phase clock flip-flop

      
Numéro d'application 17373890
Numéro de brevet 11626863
Statut Délivré - en vigueur
Date de dépôt 2021-07-13
Date de la première publication 2023-04-11
Date d'octroi 2023-04-11
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Shahi, Rajendra Singh

Abrégé

DD or ground respectively, wherein there is no direct feedback from an output of the circuit to an input the circuit and there is no precharged state in the circuit.

Classes IPC  ?

  • H03K 3/037 - Circuits bistables
  • G06F 1/06 - Générateurs d'horloge produisant plusieurs signaux d'horloge

86.

Post-CTS clock tree restructuring

      
Numéro d'application 17315019
Numéro de brevet 11620428
Statut Délivré - en vigueur
Date de dépôt 2021-05-07
Date de la première publication 2023-04-04
Date d'octroi 2023-04-04
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Chapman, Andrew Mark
  • Li, Zhuo

Abrégé

Various embodiments provide a system for performing operations that comprise accessing an integrated circuit design that includes a clock tree interconnecting a clock source to a plurality of clock sinks. The operations include receiving a request to adjust a present timing offset of the clock tree to a target timing offset. In response, a group of clock sinks to be adjusted are identified to satisfy the request. The clock tree is then modified by moving a terminal of the group from a first location in the clock tree to a second location in the clock tree to update the clock tree. An indication is provided that the updated clock tree has been modified and complies with the target timing offset.

Classes IPC  ?

  • G06F 30/396 - Arbres d’horloge
  • G06F 30/367 - Vérification de la conception, p.ex. par simulation, programme de simulation avec emphase de circuit intégré [SPICE], méthodes directes ou de relaxation
  • G06F 30/337 - Optimisation de la conception
  • G06F 30/3312 - Analyse temporelle
  • G06F 117/04 - Portillonnage d’horloge
  • G06F 119/12 - Analyse temporelle ou optimisation temporelle
  • G06F 117/10 - Insertion de mémoire tampon

87.

System, method, and computer program product for predicting parasitics in an electronic design

      
Numéro d'application 16898702
Numéro de brevet 11620548
Statut Délivré - en vigueur
Date de dépôt 2020-06-11
Date de la première publication 2023-04-04
Date d'octroi 2023-04-04
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Bhushan, Sai
  • Fallon, Elias Lee
  • Ahuja, Chirag

Abrégé

The present disclosure relates to a computer-implemented method for electronic design is provided. Embodiments may include receiving, using at least one processor, an electronic design having an original schematic associated therewith and extracting one or more features for each net from the schematic. Embodiments may include storing one or more resistance or capacitance values for each net and applying the one or more resistance or capacitance values as labels for a machine learning model. Embodiments may also include training the machine learning model using one or more actual values to generate a trained model. Embodiments may further include receiving the trained model to predict parasitics for a stitching engine and generating a stitched schematic.

Classes IPC  ?

  • G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation
  • G06F 30/27 - Optimisation, vérification ou simulation de l’objet conçu utilisant l’apprentissage automatique, p.ex. l’intelligence artificielle, les réseaux neuronaux, les machines à support de vecteur [MSV] ou l’apprentissage d’un modèle
  • G06N 5/04 - Modèles d’inférence ou de raisonnement
  • G06N 5/00 - Agencements informatiques utilisant des modèles fondés sur la connaissance
  • G06N 20/20 - Techniques d’ensemble en apprentissage automatique

88.

User interface for interactive skew group analysis

      
Numéro d'application 17219765
Numéro de brevet 11620417
Statut Délivré - en vigueur
Date de dépôt 2021-03-31
Date de la première publication 2023-04-04
Date d'octroi 2023-04-04
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Pereira, Ainsley Malcolm
  • Newton, Thomas Andrew

Abrégé

Aspects of the present disclosure address systems, methods, and a user interface for providing interactive skew group visualizations for integrated circuit (IC) design. The method includes causing display of a user interface that includes a display of a grouped view of a clock-tree including a plurality of skew group indicators. The method further includes receiving a user selection of a skew group indicator and updating the user interface to display a detailed view of the skew group including a graphical representation of each clock sink in the skew group and corresponding timing information. The method further includes receiving a second user selection of a first clock sink and in response, the display is updated to display an indicator of a physical location of the first clock sink within the clock tree.

Classes IPC  ?

  • G06F 30/12 - CAO géométrique caractérisée par des moyens d’entrée spécialement adaptés à la CAO, p.ex. interfaces utilisateur graphiques [UIG] spécialement adaptées à la CAO
  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/396 - Arbres d’horloge

89.

Pattern-based formal description language for describing a power/ground routing structure in an integrated circuit design

      
Numéro d'application 17184597
Numéro de brevet 11615229
Statut Délivré - en vigueur
Date de dépôt 2021-02-25
Date de la première publication 2023-03-28
Date d'octroi 2023-03-28
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Mantik, Stefanus
  • Li, Jianmin
  • Huang, Dennis Jenhsin
  • Santoso, Dewi Farrah
  • Li, Ting
  • Zhang, Ming

Abrégé

An approach includes a new power and ground structure description language (PSDL) will allow the user to describe the desired routing pattern for each layer and on a user defined region by region basis, including how the pattern will be laid out in the design with respect to other patterns from a different layer. The new PSDL also gives the complete picture of the entire power and ground structure, instead of just a layer-by-layer view from a single command. It also allowed flexibility in alignment especially when dealing with track misalignments, thus avoiding the extensive trial-and-error steps needed to calculate offsets and distances to maintain pattern alignment using previous approaches. Additionally, because PSDL is not tightly dependent on the design size and/or floorplan, transferring the desired power and ground structure from one design to another will be very easy with only few adjustments.

Classes IPC  ?

  • G06F 30/3947 - Routage global
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 119/06 - Analyse de puissance ou optimisation de puissance

90.

Method, product, and apparatus for variable precision weight management for neural networks

      
Numéro d'application 16946675
Numéro de brevet 11615320
Statut Délivré - en vigueur
Date de dépôt 2020-06-30
Date de la première publication 2023-03-28
Date d'octroi 2023-03-28
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Hung, Ngai Ngai William
  • Goswami, Dhiraj
  • Zimmer, Michael Patrick
  • Liu, Yong

Abrégé

An approach includes identification of a machine learning model for processing and generating an ordered set of weights with varying precisions and metadata that specifies where those values can be found in order to allow the identification of weights needed during processing. In a first embodiment, the variable precision weights are separated into different memory segments where each segment has weights of only a single precision. In a second embodiment, the variable precision weights are provided in a memory where weights of different precisions are intermingled, and those weights are identified using a sequence of pairs of data representing a number of weights with the same precision and the precision of those weights. In some embodiments, both the first and second embodiments are combined, where some segments contain weights with only a single precision and at least one segment stores weights with different precisions within a respective segment.

Classes IPC  ?

  • G06F 16/22 - Indexation; Structures de données à cet effet; Structures de stockage
  • G06F 16/28 - Bases de données caractérisées par leurs modèles, p.ex. des modèles relationnels ou objet
  • G06N 3/10 - Interfaces, langages de programmation ou boîtes à outils de développement logiciel, p.ex. pour la simulation de réseaux neuronaux
  • G06N 20/00 - Apprentissage automatique

91.

System interconnect architecture using dynamic bitwise switch and low-latency input/output

      
Numéro d'application 16209597
Numéro de brevet 11610040
Statut Délivré - en vigueur
Date de dépôt 2018-12-04
Date de la première publication 2023-03-21
Date d'octroi 2023-03-21
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Quayle, Barton
  • Poplack, Mitchell G.

Abrégé

Embodiments disclosed herein describe switching logic in board-level interconnects and in the system-level interconnects that may provide bitwise dynamic routing and switching between corresponding board-level and system-level components. At board-level, a switching ASIC may receive input data through a backplane from an emulation ASIC in a first logic board and route any bit of the input data to any of the emulation ASIC in a second logic board. At system-level, a switching logic board containing a set of switching ASICs may be associated with a logic cluster and may dynamically route data bits from the emulation ASICs in the logic cluster to emulation ASICs to other logic clusters of the emulation system and/or target systems. Additionally, the switching logic board may dynamically route bits from the other logic clusters to the associated logic cluster.

Classes IPC  ?

  • G06F 30/30 - Conception de circuits
  • G06F 30/331 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation avec accélération matérielle, p.ex. en utilisant les réseaux de portes programmables [FPGA] ou une émulation
  • G06F 30/34 - Conception de circuits pour circuits reconfigurables, p.ex. réseaux de portes programmables [FPGA] ou circuits logiques programmables [PLD]
  • G06F 30/333 - Conception en vue de la testabilité [DFT], p.ex. chaîne de balayage ou autotest intégré [BIST]

92.

System and method for autonomous printed circuit board design using machine learning techniques

      
Numéro d'application 16785972
Numéro de brevet 11599699
Statut Délivré - en vigueur
Date de dépôt 2020-02-10
Date de la première publication 2023-03-07
Date d'octroi 2023-03-07
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Roberto, Luke
  • Mitra, Joydeep
  • Hogan, Taylor Elsom
  • Li, Shang
  • Zumbo, Zachary Joseph
  • Murphy, John Robert

Abrégé

The present disclosure relates to systems and methods for floorplanning using machine learning techniques. Embodiments may include receiving an electronic design and analyzing the electronic design using a reinforcement learning agent. Embodiments may further include recommending a first action wherein the first action includes at least one of a place agent action, a via agent action, or a route agent action. Embodiments may also include updating the electronic design based upon, at least in part, the first action to generate an updated electronic design. Embodiments may further include analyzing the updated electronic design using the reinforcement learning agent and recommending a second action wherein the second action includes at least one of a place agent action, a via agent action, or a route agent action. Embodiments may also include updating the updated electronic design based upon the second action to generate a second updated electronic design.

Classes IPC  ?

  • G06F 30/30 - Conception de circuits
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion
  • G06N 3/08 - Méthodes d'apprentissage
  • G06F 115/12 - Cartes de circuits imprimés [PCB] ou modules multi-puces [MCM]

93.

Method, system, and computer program product for characterizing electronic designs with real-time modeling

      
Numéro d'application 17138861
Numéro de brevet 11599701
Statut Délivré - en vigueur
Date de dépôt 2020-12-30
Date de la première publication 2023-03-07
Date d'octroi 2023-03-07
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s) Ginetti, Arnold Jean Marie Gustave

Abrégé

Disclosed are methods, systems, and articles of manufacture for characterizing an electronic design with real-time modeling. An electronic design may be prepared for an analysis that programmatically sweeps across multiple values of a new parameter for multiple instances in the electronic design. The analysis may be performed on the electronic design at least by adding the new parameter to the analysis engine and by sweeping the new parameter across the multiple values to generate an analysis result. The electronic design may then be updated based at least in part upon the analysis result.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

94.

Scan channel slicing for compression-mode testing of scan chains

      
Numéro d'application 17204334
Numéro de brevet 11592482
Statut Délivré - en vigueur
Date de dépôt 2021-03-17
Date de la première publication 2023-02-28
Date d'octroi 2023-02-28
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Chillarige, Sameer Chakravarthy
  • Malik, Anil
  • Nandakumar, Bharath

Abrégé

Scan channel slicing methods and systems for testing of scan chains in an integrated circuit (IC) reduce the number of test cycles needed to effectively test all the scan chains in the IC, reducing the time and cost of testing. In scan channel slicing, rather than loading and unloading into scan chains high-power patterns having numerous switching transitions over the length of each scan chain, loading and unloading the entirety of the scan chain scan while observing it, chain load data is sliced, apportioning between the different scan chains independently observable sections (slices) of transition data in which all four bit-to-bit transitions (“0” to “0”, “0” to “1”, “1” to 0”, “1” to “1”) are ensured to exist. The remainder of the scan chain load data, which is not observed in the test procedure, can be low-transition data that consumes low dynamic power, such as mostly zeroes or mostly ones.

Classes IPC  ?

  • G01R 31/3177 - Tests de fonctionnement logique, p.ex. au moyen d'analyseurs logiques
  • G01R 31/317 - Tests de circuits numériques

95.

System, method, and computer program product for implementing intelligent electronic design reuse through data analytics

      
Numéro d'application 16691800
Numéro de brevet 11593437
Statut Délivré - en vigueur
Date de dépôt 2019-11-22
Date de la première publication 2023-02-28
Date d'octroi 2023-02-28
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Fernsebner, Daniel Konrad
  • Kakkar, Vikas
  • Kohli, Vikas
  • Hepburn, Mark Joseph

Abrégé

The present disclosure relates to a system and method for electronic design. Embodiments may include receiving, using at least one processor, a plurality of distinct electronic designs at an electronic design database and storing the plurality of distinct electronic designs at the electronic design database. Embodiments may further include receiving a request to reuse one of the plurality of distinct electronic designs from a client electronic device associated with a user, wherein the request includes design connectivity information, block connectivity information, and page connectivity information. Embodiments may also include analyzing the design connectivity information, block connectivity information, and page connectivity information to identify one or more closest matches with the plurality of distinct electronic designs and providing the one or more closest matches to the client electronic device to allow for subsequent displaying at a graphical user interface.

Classes IPC  ?

  • G06F 16/9038 - Présentation des résultats des requêtes
  • G06F 16/903 - Requêtes
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 111/02 - CAO dans un environnement de réseau, p.ex. CAO coopérative ou simulation distribuée
  • G06F 115/08 - Blocs propriété intellectuelle [PI] ou cœur PI
  • G06F 111/04 - CAO basée sur les contraintes

96.

Read eye training

      
Numéro d'application 17879611
Numéro de brevet 11909565
Statut Délivré - en vigueur
Date de dépôt 2022-08-02
Date de la première publication 2023-02-16
Date d'octroi 2024-02-20
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Shelke, Anirudha
  • Madhavakaimal, Ashwin S.
  • Baby, Kiran

Abrégé

Decision feedback equalization (DFE) is used to help reduce inter-symbol interference (ISI) from a data signal received via a band-limited (or otherwise non-ideal) channel. In embodiment, a single-ended receiver trains DFE coefficients and the slicer reference voltage to improve the received eye height. The process for training avoids many whole range sweeps thereby shortening training time. A custom data pattern that includes low-frequency (DC with respect to DFE) and high-frequency (AC with respect to DFE) worst cases is used for training in a closed loop manner. Negative DFE is used to measure the AC height of the data. Positive DFE is used to find the DC height of the data pattern.

Classes IPC  ?

  • H04L 25/03 - Réseaux de mise en forme pour émetteur ou récepteur, p.ex. réseaux de mise en forme adaptatifs

97.

Reference voltage training scheme

      
Numéro d'application 16356939
Numéro de brevet 11580048
Statut Délivré - en vigueur
Date de dépôt 2019-03-18
Date de la première publication 2023-02-14
Date d'octroi 2023-02-14
Propriétaire Cadence Designs Systems, Inc. (USA)
Inventeur(s)
  • Wilson, Thomas E.
  • Huss, Scott
  • Ravi, Hari Anand
  • Gugwad, Sachin Ramesh
  • Rathor, Balbeer Singh

Abrégé

Various aspects of the subject technology relate to systems, methods, and machine-readable media for DDR reference voltage training. The method includes receiving a data stream, the data stream including pulses generated from a reference voltage in relation to a voltage input logic low and a voltage input logic high of an input stream. The method also includes receiving a clock signal, the clock signal including an in-phase signal and a quadrature-phase signal, the in-phase signal orthogonal to the quadrature-phase signal. The method also includes utilizing the in-phase signal and the quadrature-phase signal of the clock signal in relation to the data stream to obtain a stream of in-phase samples and a stream of quadrature-phase samples. The method also includes adjusting the reference voltage based on a relationship of the stream of in-phase samples to the stream of quadrature-phase samples.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 13/42 - Protocole de transfert pour bus, p.ex. liaison; Synchronisation
  • G06F 1/12 - Synchronisation des différents signaux d'horloge

98.

Utilizing single cycle ATPG test patterns to detect multicycle cell-aware defects

      
Numéro d'application 17342764
Numéro de brevet 11579194
Statut Délivré - en vigueur
Date de dépôt 2021-06-09
Date de la première publication 2023-02-14
Date d'octroi 2023-02-14
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Chokhani, Arvind
  • Swenton, Joseph Michael
  • Amodeo, Martin Thomas

Abrégé

An integrated circuit (IC) test engine can generate a plurality of single cycle test patterns that target a plurality of static single cycle defects of a fabricated IC chip based on an IC design. The IC test engine can also fault simulate the plurality of single cycle test patterns against a plurality of multicycle defects in the IC design, wherein a given single cycle test pattern of the plurality of single cycle test patterns is sim-shifted to enable detection of a given multicycle fault and/or defect of the plurality of multicycle faults and/or defects.

Classes IPC  ?

  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux
  • G06F 11/00 - Détection d'erreurs; Correction d'erreurs; Contrôle de fonctionnement
  • G01R 31/3183 - Génération de signaux d'entrée de test, p.ex. vecteurs, formes ou séquences de test
  • G01R 31/3185 - Reconfiguration pour les essais, p.ex. LSSD, découpage

99.

System, method, and computer program product for finding and analyzing deadlock conditions associated with the formal verification of an electronic circuit design

      
Numéro d'application 17142360
Numéro de brevet 11580284
Statut Délivré - en vigueur
Date de dépôt 2021-01-06
Date de la première publication 2023-02-14
Date d'octroi 2023-02-14
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Deaton, Craig Franklin
  • Komar, Christopher William
  • Lundgren, Lars

Abrégé

The present disclosure relates to a method for electronic circuit design. Embodiments may include receiving, using a processor, an electronic circuit design and performing a deadlock check on the electronic circuit design using a using a linear temporal logic property and a proof engine. Embodiments may further include analyzing a counterexample associated with the electronic circuit design for a loop escape condition, wherein analyzing includes proving a cover trace of a liveness obligation. If the loop escape condition is reachable from the counterexample, embodiments may include extracting one or more events associated with the loop escape condition and adding a waiver constraint to the deadlock check to force a no deadlock outcome.

Classes IPC  ?

  • G06F 30/30 - Conception de circuits
  • G06F 30/33 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 111/04 - CAO basée sur les contraintes
  • G06Q 10/10 - Bureautique; Gestion du temps

100.

Electronic design tracing and tamper detection using automatically generated layout patterns

      
Numéro d'application 17139876
Numéro de brevet 11574111
Statut Délivré - en vigueur
Date de dépôt 2020-12-31
Date de la première publication 2023-02-07
Date d'octroi 2023-02-07
Propriétaire Cadence Design Systems, Inc. (USA)
Inventeur(s)
  • Sengupta, Rwik
  • Nelson, Jeffrey
  • Hurat, Philippe
  • Condella, Jac Paul P.

Abrégé

Disclosed are method(s), system(s), and article(s) of manufacture for implementing an approach to facilitate traceability and tamper detection of electronic designs. This approach allows for tracing and tamper detection at any stage of design and manufacturing, such as during layout generation, post-design, post-mask, and post manufacturing of the electronic designs.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 16/532 - Formulation de requêtes, p.ex. de requêtes graphiques
  1     2     3     ...     17        Prochaine page