Cadence Design Systems, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-46 de 46 pour Cadence Design Systems, Inc. Trier par
Recheche Texte
Brevet
International - WIPO
Excluant les filiales
Affiner par Reset Report
Date
2019 1
Avant 2019 45
Classe IPC
G06F 17/50 - Conception assistée par ordinateur 30
G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation 4
G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation 2
G01R 31/00 - Dispositions pour tester les propriétés électriques; Dispositions pour la localisation des pannes électriques; Dispositions pour tests électriques caractérisées par ce qui est testé, non prévues ailleurs 1
G01R 31/26 - Test de dispositifs individuels à semi-conducteurs 1
Voir plus
Résultats pour  brevets

1.

METHOD AND APPARATUS FOR DETERMINING WAIVER APPLICABILITY CONDITIONS AND APPLYING THE CONDITIONS TO MULTIPLE ERRORS OR WARNINGS IN PHYSICAL VERIFICATION TOOLS

      
Numéro d'application RU2017000466
Numéro de publication 2019/004853
Statut Délivré - en vigueur
Date de dépôt 2017-06-29
Date de publication 2019-01-03
Propriétaire CADENCE DESIGN SYSTEM, INC. (USA)
Inventeur(s)
  • Kalinov, Alexey Jakovlevich
  • Den Dulk, Douglas Marinus
  • Freidlin, Andrey Sergeevich

Abrégé

An approach is described for determining waiver applicability conditions and applying the conditions to multiple errors or warnings in physical verification tools. According to some embodiments, the approach includes identification of a waiver of an error or warning, registration of one or more condition sets for waiver of an error or warning, waiver of multiple errors or warnings that match the registered one or more condition sets, and further comprise any or all of the following: receiving or retrieving a circuit design, analyzing the circuit design to identify errors and warnings, and displaying identified errors and warnings where errors and warnings matching a registered condition set are waived. This approach provides for a 1-to-many relationship between identification of a waiver and application of waivers.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur
  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation

2.

SYSTEM AND METHOD FOR USE IN PHYSICAL DESIGN PROCESSES

      
Numéro d'application US2016063985
Numéro de publication 2018/101911
Statut Délivré - en vigueur
Date de dépôt 2016-11-29
Date de publication 2018-06-07
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Ting, Li-Chien
  • Evans, Shelly Ann
  • Caluya, Serena Chiang
  • Peskov, Alexey Nikolaevich
  • Migachev, Pavel Nikolaevich
  • Smirnov, Alexander
  • Kostyuchenko, Oleg
  • Yang, David Y.
  • Rybalkin, Roman Vladimirovich

Abrégé

The present disclosure relates to a computer-implemented method for use in an electronic design environment. Embodiments may include defining, using at least one processor, a grammar object system including one or more of objects, elements, values and relationships. Embodiments may include generating a technology grammar binary representation, based upon, at least in part, the grammar object system and receiving a technology ASCII representation. Embodiments may further include parsing at least one of the technology grammar binary representation and the technology ASCII representation to generate a technology binary representation and providing the technology binary representation to at least one of a graphical user interface or a database.

Classes IPC  ?

  • G01R 31/3183 - Génération de signaux d'entrée de test, p.ex. vecteurs, formes ou séquences de test
  • G06F 3/041 - Numériseurs, p.ex. pour des écrans ou des pavés tactiles, caractérisés par les moyens de transduction
  • G06F 9/44 - Dispositions pour exécuter des programmes spécifiques

3.

SYSTEM AND METHOD FOR IMPLEMENTING AND VALIDATING STAR ROUTING FOR POWER CONNECTIONS AT CHIP LEVEL

      
Numéro d'application RU2015000033
Numéro de publication 2016/118039
Statut Délivré - en vigueur
Date de dépôt 2015-01-21
Date de publication 2016-07-28
Propriétaire CADENCE DESIGN SYSTEM, INC. (USA)
Inventeur(s)
  • Freidlin, Andrey Sergeyvich
  • Chavhan, Ankur
  • Jain, Devesh
  • Farhat, Behnam
  • Shanmugam, Sundararajan
  • Zhang, Susan Zueqing

Abrégé

A system, method, and computer program product for automating the design and routing of non-shared one-to-many conductive pathways between a common pad and circuit blocks in an integrated circuit. Such pathways are routinely required for power and signal distribution purposes. Automated scripts perform a star routing methodology and validate the routing results. The methodology processes input width and layer constraints and from-to's denoting start and end points for each route by invoking a star_route command in a router that implements interconnections as specified. Routing results are validated by checking for routing violations, including shared segments and width violations. Violations are marked for correction.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

4.

METHOD AND SYSTEM FOR AUTOMATICALLY ESTABLISHING A HIERARCHICAL PARAMETERIZED CELL (PCELL) DEBUGGING ENVIRONMENT

      
Numéro d'application RU2012000273
Numéro de publication 2013/154448
Statut Délivré - en vigueur
Date de dépôt 2012-04-10
Date de publication 2013-10-17
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Ting, Li-Chien
  • Anufriev, Nikolay Vladimirovich
  • Peskov, Alexey Nikolayevich
  • Caluya, Serena Chiang
  • Chen, Chia-Fu

Abrégé

A system and method are provided for establishing an automated debugging environment in an Electronic Design Automation (EDA) work flow for the debugging of parameterized cells (PCELLS/PyCELLS) in a layout. A user may merely select a particular PCELL within a hierarchical PCELL and the system and method will determine dependencies thereof. The source code for the selected PCELL and its dependencies may be located and loaded. At least one breakpoint may be set in the source code of the selected PCELL. The source code for the selected PCELL and its dependencies may be executed to be arrested at the set breakpoints. Upon the arrest of execution, a debugging environment may be established and the located source code of the selected PCELL may be displayed along with values for parametric components thereof and progression control tools.

Classes IPC  ?

  • G06F 9/45 - Compilation ou interprétation de langages de programmation évolués
  • G06F 17/50 - Conception assistée par ordinateur

5.

METHODS, SYSTEMS, AND ARTICLES OF MANUFACTURE FOR IMPLEMENTING ELECTRONIC CIRCUIT DESIGNS WITH ELECTRICAL AWARENESS

      
Numéro d'application US2011045091
Numéro de publication 2012/018570
Statut Délivré - en vigueur
Date de dépôt 2011-07-22
Date de publication 2012-02-09
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Mcsherry, Michael
  • White, David
  • Fischer, Ed
  • Yanagida, Bruce
  • Gopalakrishnan, Prakash
  • Dennison, Keith
  • Shah, Akshat

Abrégé

Disclosed are a method, system, and computer program product for implementing electronic circuit designs with electrical awareness. The method or the system updates the schematic level tool(s) and physical design tool(s) with electrical parasitic data or electrical characteristic data associated with electrical parasitics so both schematic and physical design tools are aware of the electrical parasitic or characteristic data in performing their functions such as extraction based simulations. The methods or systems are also aware of EM or IR-drop constraint(s) while implementing or creating a partial layout less than a complete layout. The method or the system also provides a user interface for a design tool to provide in situ, customizable, real-time information for implementing electronic circuit designs with electrical awareness. The methods or systems also support constraint verification for electronic circuit design implementation with electrical awareness.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation
  • G06F 17/50 - Conception assistée par ordinateur

6.

METHODS, SYSTEMS, AND ARTICLES OF MANUFACTURE FOR IMPLEMENTING ELECTRONIC CIRCUIT DESIGNS WITH ELECTRO-MIGRATION AWARENESS

      
Numéro d'application US2011045104
Numéro de publication 2012/018571
Statut Délivré - en vigueur
Date de dépôt 2011-07-22
Date de publication 2012-02-09
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • White, David
  • Mcsherry, Michael
  • Fischer, Ed
  • Yanagida, Bruce
  • Gopalakrishnan, Prakash

Abrégé

Disclosed are methods, systems, and articles of manufacture for implementing electronic circuit designs with electro-migration awareness. Some embodiments perform schematic level simulation(s) to determine electrical characteristics, identifies physical parasitics of a layout component, determines the electrical or physical characteristics associated to electro-migration analysis on the component, and determines whether the component meets EM related constraint(s) while implementing the physical design of the electronic circuit in some embodiments. Some embodiments further determine adjustment(s) to the component or related data where the EM related constraints are not met and / or and present the adjustment(s) in the form of hints. Various data and information, such as currents in various forms or voltages, are passed between various schematic level tools and physical level tools.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives
  • G06F 17/50 - Conception assistée par ordinateur

7.

METHODS, SYSTEMS, AND ARTICLES OF MANUFACTURE FOR IMPLEMENTING ELECTRONIC CIRCUIT DESIGNS WITH SIMULATION AWARENESS

      
Numéro d'application US2011045110
Numéro de publication 2012/015702
Statut Délivré - en vigueur
Date de dépôt 2011-07-22
Date de publication 2012-02-02
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Gopalakrishnan, Prakash
  • Mcsherry, Michael
  • White, David
  • Fischer, Ed
  • Yanagida, Bruce
  • Dennison, Keith

Abrégé

Disclosed are methods, systems, and articles of manufacture for implementing electronic designs with simulation awareness. A schematic is identified or created and simulated at the schematic level to characterize the functional behavior of the circuit or to ensure the circuit design meets the required design specifications. Physical data of a component of the design is identified, created, or updated, and the electrical parasitic associated with physical data is characterized. One or more electrical characteristics associated with the parasitic is further characterized and mapped to the simulator to re-simulate the circuit design to analyze the impact of parasitics. Some embodiments re-run the same simulation process incrementally in an interactive manner by accepting incremental design or parameter changes from the design environment.

Classes IPC  ?

  • G06F 15/04 - TRAITEMENT ÉLECTRIQUE DE DONNÉES NUMÉRIQUES Équipement de traitement de données en général recevant les programmes en même temps que les données à traiter, p.ex. sur le même support d'enregistrement
  • G06F 17/50 - Conception assistée par ordinateur

8.

METHOD, APPARATUS, AND ARTICLE OF MANUFACTURE FOR PROVIDING IN SITU, CUSTOMIZABLE INFORMATION IN DESIGNING ELECTRONIC CIRCUITS WITH ELECTRICAL AWARENESS

      
Numéro d'application US2011045119
Numéro de publication 2012/015706
Statut Délivré - en vigueur
Date de dépôt 2011-07-22
Date de publication 2012-02-02
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Fischer, Ed
  • White, David
  • Mcsherry, Michael
  • Yanagida, Bruce

Abrégé

A method and system for providing customizable information in designing electronic circuits with electrical awareness is disclosed. The method displays a portion of a physical design of an electronic circuit in a first display area and receives or identifies a user's manipulation of the portion of the physical design of the electronic circuit. The method then determines and displays an in situ response to the manipulation in the first display area. The method further displays results relating to the physical data of a component, electrical parasitics associated with the physical data, electrical characteristics associated with the physical data or the electrical characteristics, or other elements of the physical design that is impacted by the manipulation. Electrical characteristics associated with the parasitic are characterized and mapped to the simulator to resimulate the circuit design to analyze the impact of parasitics.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

9.

METHODS, SYSTEMS, AND ARTICLES OF MANUFACTURE FOR CONSTRAINT VERIFICATION FOR IMPLEMENTING ELECTRONIC CIRCUIT DESIGNS WITH ELECTRICAL AWARENESS

      
Numéro d'application US2011045126
Numéro de publication 2012/015709
Statut Délivré - en vigueur
Date de dépôt 2011-07-22
Date de publication 2012-02-02
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Fischer, Ed
  • Mcsherry, Michael
  • White, David
  • Yanagida, Bruce
  • Shah, Akshat

Abrégé

Disclosed are methods, systems, and articles of manufacture for constraint verification for implementing electronic circuit designs with electrical awareness. Some embodiments identify or set parasitic constraint(s) and compare the electrical parasitic(s) with corresponding parasitic constraint(s) to determine whether the parasitic constraints are met. Some embodiments first identify, determine, or update the physical data of a component of a partial layout and characterize the electrical parasitics associated with the physical data of the component. Some embodiments identify or determine some schematic level performance constraints and estimate parasitic constraints based on schematic simulation results and the performance constraints; the estimated parasitic constraints are then compared with the corresponding electrical parasitics to determine whether the constraints are satisfied. Some embodiments further map schematic level parasitic constraints to a physical design representation and then compares the mapped parasitic constraints with corresponding electrical constraints to determine whether the mapped constraints are met.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

10.

METHOD, APPARATUS, AND ARTICLE OF MANUFACTURE FOR PROVIDING IN SITU, CUSTOMIZABLE INFORMATION IN DESIGNING ELECTRONIC CIRCUITS WITH ELECTRICAL AWARENESS

      
Numéro d'application US2011045123
Numéro de publication 2012/015708
Statut Délivré - en vigueur
Date de dépôt 2011-07-22
Date de publication 2012-02-02
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Fischer, Ed
  • White, David
  • Mcsherry, Michael
  • Yanagida, Bruce
  • Kenzle, Wilfred V.

Abrégé

Disclosed are a method, system, and computer program product for providing customizable information in designing electronic circuits with electrical awareness. The method or the system displays a portion of a physical design of an electronic circuit in a first display area. The method or the system receives or identifies a user's or a system's manipulation of the portion of the physical design of the electronic circuit. The method or the system then determines and displays an in situ response to the manipulation in the first display area. The method or the system may further display, in the first display area or in another display area, result(s) relating to the physical data of a component, electrical parasitic(s) associated with the physical data, electrical characteristic(s) associated with the physical data or the electrical characteristic(s), or other element(s) of the physical design that is impacted by the manipulation.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

11.

METHODS AND SYSTEMS FOR HIGH SIGMA YIELD ESTIMATION

      
Numéro d'application US2010057609
Numéro de publication 2011/078930
Statut Délivré - en vigueur
Date de dépôt 2010-11-22
Date de publication 2011-06-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Tiwary, Saurabh
  • Liu, Hongzhou
  • Zhang, Hui

Abrégé

For an integrated circuit associated with a plurality of parameters whose values are described by a first probability distribution function, a method for estimating a failure probability includes selecting a first plurality of samples, performing a first test to determine an outcome for each of the first plurality of samples and identifying failed samples, and clustering the failed samples using a computer-implemented cluster forming method that, in some cases, returns multiple clusters. The method also includes forming a probability distribution function for each of the clusters, forming a composite probability distribution function that includes a weighted combination of the first probability distribution function and the probability distribution function for each of the clusters. The method further includes selecting a second plurality of samples using the composite probability distribution function and performing a second test to determine an outcome for each of the second plurality of samples.

Classes IPC  ?

  • G01R 31/26 - Test de dispositifs individuels à semi-conducteurs
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

12.

METHODS AND SYSTEMS FOR HIGH SIGMA YIELD ESTIMATION USING REDUCED DIMENSIONALITY

      
Numéro d'application US2010059290
Numéro de publication 2011/078965
Statut Délivré - en vigueur
Date de dépôt 2010-12-07
Date de publication 2011-06-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Tiwary, Saurabh
  • Liu, Hongzhou
  • Zhang, Hui

Abrégé

For an integrated circuit associated with a first plurality of parameters whose values are described by a first probability distribution function, a method for estimating a failure probability includes selecting a first plurality of samples, performing a first test to determine an outcome for each of the first plurality of samples and identifying failed samples A second plurality of parameters is selected having fewer parameters than the first plurality of parameters The failed samples are clustered in the space of the second plurality of parameters The method also includes forming a probability distribution function for each of the clusters and forming a composite probability distribution function The method further includes selecting a second plurality of samples using the composite probability distribution function and performing a second test to determine an outcome for each of the second plurality of samples A failure probability can then be computed.

Classes IPC  ?

  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux
  • G06F 11/00 - Détection d'erreurs; Correction d'erreurs; Contrôle de fonctionnement

13.

INTEGRATED CLOCK GATING CELL FOR CIRCUITS WITH DOUBLE EDGE TRIGGERED FLIP-FLOPS

      
Numéro d'application US2010058650
Numéro de publication 2011/068928
Statut Délivré - en vigueur
Date de dépôt 2010-12-02
Date de publication 2011-06-09
Propriétaire CADENCE DESIGN SYTEMS, INC. (USA)
Inventeur(s)
  • Zlatanovici, Radu
  • Subramani, Kumar

Abrégé

A double edge triggered circuit includes a clock gater responsive to a clock signal and an enable signal to output a gated clock signal, a first double edge triggered flip-flop that launches a data signal in response to the gated clock signal, and a second double edge triggered flip-flop that captures the data signal in response to the clock signal, wherein the clock gater stops the gated clock signal at a first logic value when the enable signal is at a first logic state, and the clock gater switches the gated clock signal from the first logic value at a next clock edge when the enable signal is at a second logic state.

Classes IPC  ?

  • H03K 3/00 - Circuits pour produire des impulsions électriques; Circuits monostables, bistables ou multistables

14.

SYSTEM AND METHOD FOR PROVIDING MULTI-PROCESS PROTECTION USING DIRECT MEMORY MAPPED CONTROL REGISTERS

      
Numéro d'application US2010045552
Numéro de publication 2011/020081
Statut Délivré - en vigueur
Date de dépôt 2010-08-13
Date de publication 2011-02-17
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Chou, Ching-Ping
  • Kwan, Darren

Abrégé

A multi-process protection system and method using direct memory mapped control registers. A controller switch facilitates communication between a host and device(s) connected device ports. A device driver allows processes to access the controller switch and to grant exclusive access to each of the plurality of execution units. A first access request to access an execution unit units is received from a first process. A set of direct accessible addresses to the set of control registers of the execution unit is allocated, and the first process gets exclusive access the execution unit until the first process releases the exclusive access. A second access request to access the execution unit received from a second process is denied by checking the assignment of the set of direct accessible addresses to the set of control registers of the execution unit while the first process retains exclusive access to the execution unit.

Classes IPC  ?

  • G06F 15/173 - Communication entre processeurs utilisant un réseau d'interconnexion, p.ex. matriciel, de réarrangement, pyramidal, en étoile ou ramifié

15.

AN INTEGRATED DMA PROCESSOR AND PCI EXPRESS SWITCH FOR A HARDWARE-BASED FUNCTIONAL VERIFICATION SYSTEM

      
Numéro d'application US2010045551
Numéro de publication 2011/020080
Statut Délivré - en vigueur
Date de dépôt 2010-08-13
Date de publication 2011-02-17
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Chou, Ching-Ping
  • Hwang, Su-Jen
  • Yu, Teng-I

Abrégé

A method and system for facilitating communication between a host system and one or more hardware-based functional verification systems. The one or more hardware-based functional verification systems verify the functionality of electronic circuit designs. A controller switch comprises a host interface connecting to a host system, and a plurality of device ports. Each device port connects to a hardware emulator. The controller switch further comprises a plurality of direct memory access (DMA) engines and a plurality of execution units. An execution unit comprises an instruction cache and memory storing at least one DMA instruction and at least one address for performing a software instruction and a plurality of execution unit registers.

Classes IPC  ?

  • G06F 13/28 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus d'entrée/sortie utilisant le transfert par rafale, p.ex. acces direct à la mémoire, vol de cycle

16.

CONTENTION-FREE LEVEL CONVERTING FLIP-FLOPS FOR LOW-SWING CLOCKING

      
Numéro d'application US2009067351
Numéro de publication 2010/074976
Statut Délivré - en vigueur
Date de dépôt 2009-12-09
Date de publication 2010-07-01
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Zlatanovici, Radu

Abrégé

The present invention includes a family of level converting flip-flops that accepts data and clock inputs at a lower voltage level while producing data outputs at a higher voltage level. These flip-flops enable fine-grained dual supply voltage techniques such as low-swing clocking (distributing the clock signal at a lower voltage level) and clustered voltage scaling (CVS). The level conversion is accomplished in a very efficient manner by sharing the positive feedbacks inside a flip-flop for both storage and level conversion. Additionally, the presented flip-flops are contention-free and non-ratioed, thus having reduced timing and power overheads due to the level conversion function.

Classes IPC  ?

17.

METHOD AND SYSTEM FOR MODEL-BASED DESIGN AND LAYOUT OF AN INTEGRATED CIRCUIT

      
Numéro d'application US2009046215
Numéro de publication 2009/149237
Statut Délivré - en vigueur
Date de dépôt 2009-06-04
Date de publication 2009-12-10
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Lai, Ya-Chieh
  • Gennari, Frank, E.
  • Moskewicz, Matthew
  • Doddi, Srinivas
  • Lei, Junjiang
  • Fang, Weiping
  • Lay, Kuanghao

Abrégé

A approach is described for allowing electronic design, verification, and optimization tools to implement very efficient approaches to allow the tools to directly address the effects of manufacturing processes, e.g., to identify and prevent problems caused by lithography processing. Fast models and pattern checking are employed to integrate lithography and manufacturing aware processes within EDA tools such as routers.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

18.

IMPROVED UNIFORMITY FOR SEMICONDUCTOR PATTERNING OPERATIONS

      
Numéro d'application US2009030479
Numéro de publication 2009/091664
Statut Délivré - en vigueur
Date de dépôt 2009-01-08
Date de publication 2009-07-23
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Pierrat, Christophe

Abrégé

Systems and methods of semiconductor device optimization include a system and method to determine a dataset for a layer of the semiconductor device, where the operation includes receiving a dataset defining a plurality of original patterns of sacrificial material in a layer of a semiconductor device, wherein the original patterns of sacrificial material are used to define placement of spacer material to define patterning of circuit elements for the semiconductor device; determining densities of the plurality of original patterns of sacrificial material in areas across a portion of the layer of the semiconductor device; and augmenting the dataset to include an additional pattern of sacrificial material in an area of the layer having a density lower than a threshold density.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

19.

SPACER DOUBLE PATTERNING FOR LITHOGRAPHY OPERATIONS

      
Numéro d'application US2009030488
Numéro de publication 2009/091665
Statut Délivré - en vigueur
Date de dépôt 2009-01-08
Date de publication 2009-07-23
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Pierrat, Christophe

Abrégé

Systems and methods of semiconductor device fabrication and layout generation are disclosed. An exemplary method includes processes of depositing a layer of a first material and patterning the layer to form an initial pattern, wherein the initial pattern defines critical features of the layout elements using a single exposure; depositing spacer material over the first pattern on the substrate and etching the spacer material such that the spacer material is removed from horizontal surfaces of the substrate and the first pattern but remains adjacent to vertical surfaces of the first pattern; removing the initial pattern from the substrate while leaving the spacer material in a spacer pattern; filling the spacer pattern with final material; and trimming the filled pattern to remove portions of the final material beyond dimensions of the layout elements.

Classes IPC  ?

  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation

20.

SYSTEM AND METHOD FOR SOLVING CONNECTION VIOLATIONS

      
Numéro d'application US2007088718
Numéro de publication 2009/082403
Statut Délivré - en vigueur
Date de dépôt 2007-12-21
Date de publication 2009-07-02
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Mallon, David
  • Mackie, Kenny

Abrégé

The present invention provides a method for resolving a circuit connection violation that comprises categorizing a circuit chain with the connection violation into a class, and performing one or more transformation algorithms on the circuit chain from the group consisting of a chain mirror, a cascade mirror, a cascade mirror permute, and a cut chain mirror algorithm based on the class of the circuit chain.

Classes IPC  ?

  • G01R 31/00 - Dispositions pour tester les propriétés électriques; Dispositions pour la localisation des pannes électriques; Dispositions pour tests électriques caractérisées par ce qui est testé, non prévues ailleurs

21.

SYSTEM AND METHOD FOR GENERATING FLAT LAYOUT

      
Numéro d'application US2007086181
Numéro de publication 2009/070177
Statut Délivré - en vigueur
Date de dépôt 2007-11-30
Date de publication 2009-06-04
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Ginetti, Arnold

Abrégé

The present invention provides a method for generating flat layout design view that comprises importing port definitions of a first hierarchical block of digital instances from a source as a schematic symbol, importing port definitions of digital instances within the first hierarchical block from the source, instantiating the schematic symbol as a hierarchical layout instance in the flat layout, binding the hierarchical layout instance to the schematic symbol, and embedding digital layout block instances within the design layout by replacing the digital instances of a digital layout block with digital layout instances of a top layout module of the design layout.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

22.

ROBUST DESIGN USING MANUFACTURABILITY MODELS

      
Numéro d'application US2008068425
Numéro de publication 2009/003139
Statut Délivré - en vigueur
Date de dépôt 2008-06-26
Date de publication 2008-12-31
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • White, David
  • Scheffer, Louis K.

Abrégé

The present invention allows for a robust design using manufacturability models. A method, system and/or computer usable medium may be provided in an integrated circuit design to track sensitivity to a variation of process from wafer to wafer and/or fab to fab in order to assist the designers to anticipate the variations to improve the final yield of the products.

Classes IPC  ?

  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)

23.

METHOD AND SYSTEM FOR MODEL-BASED DESIGN AND LAYOUT OF AN INTEGRATED CIRCUIT

      
Numéro d'application US2007089093
Numéro de publication 2008/083307
Statut Délivré - en vigueur
Date de dépôt 2007-12-28
Date de publication 2008-07-10
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Nequist, Eric
  • Brashears, Richard

Abrégé

Disclosed is a method, system, and computer program product for implementing model-based layout, placement, and routing. Models are used to guide the placement and routing of polygons on the IC layout. In effect, the parameters that are used for placement and routing are guided by the model data so that the layout can be formed with a high degree of manufacturability from the outset.

Classes IPC  ?

  • H01L 27/04 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur
  • G06F 17/50 - Conception assistée par ordinateur

24.

GENERALIZED CONSTRAINT COLLECTION MANAGEMENT METHOD

      
Numéro d'application US2007079223
Numéro de publication 2008/039706
Statut Délivré - en vigueur
Date de dépôt 2007-09-21
Date de publication 2008-04-03
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Arsintescu, George B.

Abrégé

Techniques are provided to allow users of Electronic Design Automation (EDA) tools to define constraint template types for efficiently associating constraints with design objects to specify design intent. Processes for creating and validating the constraints are provided by user-defined plug-ins, thereby allowing users to annotate circuit designs with constraints for proprietary layout and fabrication processes without exposing the constraints to tool vendors or competitors. The constraints are re-created from the template types whenever the circuit design is loaded into the EDA tool, so that the constraints are based upon the latest version of the template type. The constraints are also re-created when validation fails. Constraint groups are reusable in different designs, technology nodes, and processes because they automatically expand to provide the specific constraints to achieve a goal. The specific constraints in a template type can be modified as technology changes, and the modification will automatically be applied to the design objects.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

25.

VIRTUAL VIEW SCHEMATIC EDITOR

      
Numéro d'application US2007007414
Numéro de publication 2008/036116
Statut Délivré - en vigueur
Date de dépôt 2007-03-26
Date de publication 2008-03-27
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Kohli, Vikas
  • Choudhary, Parag

Abrégé

Embodiments of the present invention provide a virtual-view schematic editor for use in CAD systems. In response to a user request, the editor selects elements from a CAD database, determines the connectivity between the elements, and renders the elements on a single display. Virtual views may be created and stored for later re use within the system.

Classes IPC  ?

  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]

26.

AUTO-DETECTING AND DOWNLOADING LICENSED COMPUTER PRODUCTS

      
Numéro d'application US2007075833
Numéro de publication 2008/030688
Statut Délivré - en vigueur
Date de dépôt 2007-08-13
Date de publication 2008-03-13
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Niakan, Nima
  • Lo, Lindy
  • Baeder, Scott

Abrégé

In a system and method for automatically detecting licensed computer products, a license manager stores contract data indicating a customer and one or more computer products licensed to the customer by a license holder. A customer site is automatically checked for present computer products owned by the license holder. The licensed computer products are compared to the present computer products. In one embodiment, the computer products are software products, but they also may be hardware products.

Classes IPC  ?

  • G06F 21/00 - Dispositions de sécurité pour protéger les calculateurs, leurs composants, les programmes ou les données contre une activité non autorisée

27.

METHOD AND APPARATUS FOR APPROXIMATING DIAGONAL LINES IN PLACEMENT

      
Numéro d'application US2007071397
Numéro de publication 2007/147147
Statut Délivré - en vigueur
Date de dépôt 2007-06-15
Date de publication 2007-12-21
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Scheffer, Louis, K.

Abrégé

Some embodiments of the invention provide a method for placing circuit modules in an integrated circuit ('IC') layout. The method computes a placement metric for the IC layout. In some embodiments, computing the placement metric includes partitioning a region the IC layout into several sub-regions by using a cut graph, where the cut graph is an approximation of a diagonal cut line. These embodiments then generate congestion-cost estimates by measuring the number of nets cut by the cut graph. In some embodiments, the cut graph is a staircase cut graph. These staircase cut graphs include several horizontal and vertical cut lines. In some embodiments, the cut graph is a cut arc.

Classes IPC  ?

  • G06K 9/00 - Méthodes ou dispositions pour la lecture ou la reconnaissance de caractères imprimés ou écrits ou pour la reconnaissance de formes, p.ex. d'empreintes digitales

28.

METHOD AND APPARATUS FOR SYNCHRONIZING PROCESSORS IN A HARDWARE EMULATION SYSTEM

      
Numéro d'application US2007012846
Numéro de publication 2007/143036
Statut Délivré - en vigueur
Date de dépôt 2007-05-30
Date de publication 2007-12-13
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Bershteyn, Mikhail
  • Berghorn, Charles
  • Poplack, Mitchell G.

Abrégé

A method, apparatus and method for compiling a hardware design for performing hardware emulation using synchronized processors is described. The apparatus comprises a plurality of processors defining a processor group for evaluating data regarding a hardware design and a synchronizer for synchronizing the operation of the processor group while emulating at least a portion of the hardware design. The method comprises providing a synchronization signal to a plurality of processors defining a processor group for evaluating data regarding a hardware design, receiving a ready signal from the processor group, and providing an execution signal to the processor group, where the execution signal causes the processor group to evaluate a submodel. The method for compiling the hardware design comprises converting at least one high-level construct into a sequence of operations and identifying a sequence of operations that comprise at least one conditional submodel.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation

29.

METHOD AND SYSTEM FOR SIMULATING STATE RETENTION OF AN RTL DESIGN

      
Numéro d'application US2007009170
Numéro de publication 2007/120845
Statut Délivré - en vigueur
Date de dépôt 2007-04-12
Date de publication 2007-10-25
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Chen, Yonghao

Abrégé

Method and system for simulating state retention of an RTL design are disclosed. The method includes receiving a netlist description of the circuit represented in a register-transfer-level (RTL) design environment, receiving power information specifications of the circuit, identifying one or more power domains of the circuit using the netlist description and the power information specifications, associating the one or more power domains and the power information specifications in the RTL design environment, where the one or more power domains are controlled by a set of power control signals through a power manager logic, and simulating state retention behavior in response to variations in power applied to the power domain.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

30.

SIMULATION OF POWER DOMAIN ISOLATION

      
Numéro d'application US2007009277
Numéro de publication 2007/120888
Statut Délivré - en vigueur
Date de dépôt 2007-04-12
Date de publication 2007-10-25
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Chen, Yonghao

Abrégé

Method and system for simulating isolation of a power domain are disclosed. The method includes receiving a netlist description of the circuit that is represented in a register- transfer-level (RTL) design environment, receiving power information specifications of the circuit, associating the plurality of power domains and the power information specifications in the RTL design environment, where the plurality of power domains are controlled by a set of power control signals through a power manager logic, isolating a power domain among the plurality of power domains for simulation, and simulating isolation behavior of the power domain in response to variations in power applied to the power domain.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

31.

HARDWARE EMULATOR HAVING A VARIABLE INPUT PRIMITIVE

      
Numéro d'application US2007004435
Numéro de publication 2007/098172
Statut Délivré - en vigueur
Date de dépôt 2007-02-21
Date de publication 2007-08-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Beausoleil, William, F.
  • Elmufdi, Beshara, G.

Abrégé

A hardware emulator having a first primitive for evaluating functions having a first input width and a second primitive, coupled to the first primitive, for evaluating a function having a second input width, where the first input width is unequal to the second input width. The use of either the first primitive or the second primitive is selected depending upon the function to be evaluated.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation
  • G06F 13/00 - Interconnexion ou transfert d'information ou d'autres signaux entre mémoires, dispositifs d'entrée/sortie ou unités de traitement
  • G06F 13/14 - Gestion de demandes d'interconnexion ou de transfert

32.

SYSTEM AND METHOD FOR GENERATING A PLURALITY OF MODELS AT DIFFERENT LEVELS OF ABSTRACTION FROM A SINGLE MASTER MODEL

      
Numéro d'application US2006048249
Numéro de publication 2007/078915
Statut Délivré - en vigueur
Date de dépôt 2006-12-18
Date de publication 2007-07-12
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Watanabe, Yoshinori
  • Lavagno, Luciano
  • Kondratyev, Alex

Abrégé

A method of producing multiple models of a hardware (integrated circuit) design including: translating a master model of a design of the integrated circuit to at least first and second models that are functionally equivalent to the master model and that are at different levels of abstraction from each other, and in which each of the first and second models includes integrated circuit timing information that is accurate for its level of abstraction.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

33.

SYSTEM AND METHOD OF ELECTRON BEAM WRITING

      
Numéro d'application US2006046125
Numéro de publication 2007/064956
Statut Délivré - en vigueur
Date de dépôt 2006-11-30
Date de publication 2007-06-07
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Lapanik, Dmitri
  • Matsushita, Shohei
  • Mitsuhashi, Takashi
  • Wu, Zhigang

Abrégé

A system and method for improved electron beam writing that is capable of taking design intent, equipment capability and design requirements into consideration. The system and method determines an optimal writing pattern based, at least in part, on the received information.

Classes IPC  ?

  • H01J 37/302 - Commande des tubes par une information d'origine externe, p.ex. commande par programme
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions

34.

METHODS OF MODEL COMPILATION

      
Numéro d'application US2005037605
Numéro de publication 2007/044018
Statut Délivré - en vigueur
Date de dépôt 2005-10-20
Date de publication 2007-04-19
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Kundert, Kenneth, S.

Abrégé

A method ( 200 ) is provided for compiling a model for use in a simulation, the method comprising receiving a description of the model ( 202 ); and automatically converting the description into an implementation of the model (204 ) that is customized for a selected analysis during simulation.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur
  • G06G 7/62 - Calculateurs analogiques pour des procédés, des systèmes ou des dispositifs spécifiques, p.ex. simulateurs pour des systèmes ou des appareils électriques

35.

METHOD AND SYSTEM FOR USING PATTERN MATCHING TO PROCESS AN INTEGRATED CIRCUIT DESIGN

      
Numéro d'application US2006023213
Numéro de publication 2006/138410
Statut Délivré - en vigueur
Date de dépôt 2006-06-14
Date de publication 2006-12-28
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Cadouri, Eitan

Abrégé

Disclosed is a method, system, and computer program product for processing design objects, such as vias, for an integrated circuit design In one approach, pattern matching is employed to perform DRC/LVS for scattering bars and Vias A library of via combinations can be used to insert scattering bars into design This approach of using a library can be applied to other structures in design in addition to vias (fig 1-8).

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

36.

METHOD AND SYSTEM FOR CHIP DESIGN USING PHYSICALLY APPROPRIATE COMPONENT MODELS AND EXTRACTION

      
Numéro d'application US2006019386
Numéro de publication 2006/127438
Statut Délivré - en vigueur
Date de dépôt 2006-05-19
Date de publication 2006-11-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Scheffer, Louis K.
  • Phillips, Joel R.

Abrégé

An improved method, system, and computer program product is disclosed for predicting the geometric model of transistors once manufacturing and lithographic process effects are taken into consideration. This provides a much more accurate approach for modeling transistors since it is the actual expected geometric shapes that are analyzed, rather than an idealized model of the layout that does not accurately correspond to the actual manufactured IC product. The expected geometric shape includes systematic variations, which can be determined based on the layout, and the expected random variations, which can be determined based on the lithographic process.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

37.

METHOD AND SYSTEM FOR INCORPORATION OF PATTERNS AND DESIGN RULE CHECKING

      
Numéro d'application US2006019509
Numéro de publication 2006/127485
Statut Délivré - en vigueur
Date de dépôt 2006-05-19
Date de publication 2006-11-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Scheffer, Louis, K.
  • Noice, David, C.

Abrégé

Methods and systems for representing the limitations of a lithographic process using a pattern library instead of, or in addition to, using design rules. The pattern library includes 'known good' patterns, which chip fabricators know from experience are successful, and 'known bad' patterns, which chip fabricators know to be unsuccessful. The pattern library can be used to contain exceptions to specified design rules, or to replace the design rules completely. In some implementations, the pattern library contains statistical information that is used to contribute to an overall figure of merit for the design. In other implementations, a routing tool may generate a plurality of possible IC layouts, and select one IC layout based on information contained in the pattern library.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

38.

MANUFACTURING AWARE DESIGN AND DESIGN AWARE MANUFACTURING

      
Numéro d'application US2006019624
Numéro de publication 2006/127538
Statut Délivré - en vigueur
Date de dépôt 2006-05-20
Date de publication 2006-11-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Scheffer, Louis, K.
  • Fujimura, Akira

Abrégé

Some embodiments of the invention provide a manufacturing aware process for designing an integrated circuit ('IC') layout (1205). The process receives a manufacturing configuration that specifies a set of manufacturing settings (1210) for a set of machines to be used to manufacture an IC based on the IC layout. The process defines a set of design rules (1215) based on the specified manufacturing configuration. The process uses the set of design rules to design the IC layout (1225). Some embodiments of the invention provide a design aware process for manufacturing an integrated circuit ('IC') (1227). The process receives an IC desig with an associated set of design properties. The process specifies a manufacturing configuration that specifies a set of manufacturing settings for a set of machines to be used to manufacture the IC, where the specified set of manufacturing settings are based on the set of design properties. The process manufactures the IC based on the manufacturing settings (1230).

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur
  • G03F 1/00 - Originaux pour la production par voie photomécanique de surfaces texturées, p.ex. masques, photomasques ou réticules; Masques vierges ou pellicules à cet effet; Réceptacles spécialement adaptés à ces originaux; Leur préparation
  • G03C 5/00 - Procédés photographiques ou agents à cet effet; Régénération de tels agents de traitement
  • G06K 9/62 - Méthodes ou dispositions pour la reconnaissance utilisant des moyens électroniques

39.

METHOD AND SYSTEM FOR INCREASED ACCURACY FOR EXTRACTION OF ELECTRICAL PARAMETERS

      
Numéro d'application US2006019304
Numéro de publication 2006/127408
Statut Délivré - en vigueur
Date de dépôt 2006-05-19
Date de publication 2006-11-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Scheffer, Louis, K.
  • Staud, Wolfgang, H.
  • Huckabay, Judy

Abrégé

An improved method, system, and computer program product is disclosed for increased accuracy for extraction of electrical parameters of an IC design. Extraction is performed upon the expected geometric model of the printed layout once manufacturing and lithographic process effects are taken into consideration. This provides a much more accurate approach for performing extraction since it is the actual expected geometric shapes that are analyzed, rather than an idealized model of the layout that does not accurately correspond to the actual manufactured IC product. The extracted electrical parameters are checked for acceptability. If not acceptable, then the IC design can be modified to address any identified problems or desired improvements to the design.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

40.

SYSTEM AND METHOD FOR STATISTICAL DESIGN RULE CHECKING

      
Numéro d'application US2006019305
Numéro de publication 2006/127409
Statut Délivré - en vigueur
Date de dépôt 2006-05-19
Date de publication 2006-11-30
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Scheffer, Louis K.

Abrégé

Methods and systems for allowing an Integrated Circuit designer to specify one or more design rules, and to determine the expected probability of success of the IC design based on the design rules. Probability information is compiled for each circuit component, that specifies the probability of the circuit component working if a characteristic of the circuit component is varied. As the design rules are examined, the probability of each component working is calculated. The probabilities are combined to determine the overall probability of success for the IC design. Furthermore, the IC design may be broken into a plurality of portions, and design rules can be separately specified for each portion. This allows a designer the flexibility to use different design rules on different portions of the IC design.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

41.

METHOD AND SYSTEM FOR PRINTING LITHOGRAPHIC IMAGES WITH MULTIPLE EXPOSURES

      
Numéro d'application US2006018892
Numéro de publication 2006/124879
Statut Délivré - en vigueur
Date de dépôt 2006-05-13
Date de publication 2006-11-23
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s) Huckabay, Judy

Abrégé

System and method is disclosed for breaking a design to be printed into two or more exposures, each of which has at least the minimum pitch. Together, these multiple exposures print a design that could not be printed in one exposure alone.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

42.

TRANSFORMATION OF SIMPLE SUBSET OF PSL INTO SERE IMPLICATION FORMULAS FOR VERIFICATION WITH MODEL CHECKING AND SIMULATION ENGINES USING SEMANTIC PRESERVING REWRITE RULES

      
Numéro d'application US2005042932
Numéro de publication 2006/060316
Statut Délivré - en vigueur
Date de dépôt 2005-11-29
Date de publication 2006-06-08
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Singh, Vinaya
  • Garg, Tarun

Abrégé

Simple-Subset PSL formulas are transformed to SERE implications (Figure 3). Verification engines are required to support the basic formula only (320). The basic formula is a form of automata in the property specification language. This is called SERE implication. The efficiency of verification is dependent on size of automata.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

43.

LOCAL PREFERRED DIRECTION ROUTING AND LAYOUT GENERATION

      
Numéro d'application US2005019359
Numéro de publication 2005/122027
Statut Délivré - en vigueur
Date de dépôt 2005-06-04
Date de publication 2005-12-22
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Hetzel, Asmus
  • Malhotra, Anish
  • Cherukuri, Deepak
  • Jacques, Etienne
  • Frankle, Jon

Abrégé

A method for defining routes in a design layout with at least one particular wiring layer that has at least two regions (200, 300) with different local preferred wiring directions (210, 305). The method then uses the differing local preferred wiring directions (205, 215) to define a detailed route on the wiring layer. In some embodiments, the method defines a first route (210) that traverse first (200) and second regions (300) between two layers by using a first via that has a first pad in the second region.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

44.

LOCAL PREFERRED DIRECTION ARCHITECTURE, TOOLS, AND APPARATUS

      
Numéro d'application US2005019361
Numéro de publication 2005/122028
Statut Délivré - en vigueur
Date de dépôt 2005-06-04
Date de publication 2005-12-22
Propriétaire CADENCE DESIGN SYSTEMS, INC (USA)
Inventeur(s)
  • Hetzel, Asmus
  • Malhotra, Anish
  • Fujimura, Akira
  • Jacques, Etienne
  • Frankle, Jon
  • Harrison, David, S.
  • Feather, Heath
  • Matveev, Alexandre
  • King, Roger

Abrégé

Some embodiments of the invention provide a Local Preferred Direction (LPD) wiring model for use with one or more EDA tools. An LPD wiring model allows at least one wiring layer (200) to have a set of regions (205, 210, 215) that each has a different preferred direction (-45°, 0°, 90°) than the particular wiring layer. In addition, each region (205, 210, 215) has a local preferred direction (-45°, 0°, 90°) that differs from the local preferred direction of at least one other region in the set. Furthermore, at least two regions have two different polygonal shapes and no region in the set encompasses another region in the set. Some embodiments also provide a Graphical User Interface (GUI) that facilitates a visual presentation of an LPD design layout and provides tools to create and manipulate LPD regions in a design layout.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

45.

METHOS AND APPARATUS FOR DESIGNING INTEGRATED CIRCUIT LAYOUTS

      
Numéro d'application US2005014983
Numéro de publication 2005/109256
Statut Délivré - en vigueur
Date de dépôt 2005-04-29
Date de publication 2005-11-17
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Scheffer, Louis, K.
  • Teig, Steven

Abrégé

A method for modifying an IC layout using a library of pretabulated models, each model containing an environment with a feature, one or more geometries, and a modification to the feature that is calculated to produce a satisfactory feature on a wafer. The model may also contain a simulation of the environment reflecting no processing variations and/or a re-simulation of the environment reflecting one or more processing variations. The model may also contain data describing an electrical characteristic of the environment as a function of one or more process variations and/or data describing an adjustment equation that uses geometry coverage percentages of particular areas in the layout to determine an adjustment to the modification. In some embodiments, an upper layout for an upper of an IC are modified using information (such a density map) relating to a lower layout for a lower layer of the IC.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

46.

METHOD AND APPARATUS FOR DESIGNING INTEGRATED CIRCUIT LAYOUTS

      
Numéro d'application US2005015024
Numéro de publication 2005/109257
Statut Délivré - en vigueur
Date de dépôt 2005-04-29
Date de publication 2005-11-17
Propriétaire CADENCE DESIGN SYSTEMS, INC. (USA)
Inventeur(s)
  • Scheffer, Louis, K.
  • Teig, Steven

Abrégé

A method for modifying an upper layout for an upper layer of an IC using information of a lower layout for a lower layer of the IC, the method including (2205) receiving the upper layout containing features and modifications to features, (2215) producing a density map of the lower layout having geometry coverages of sub-regions of the lower layout, (2220) selecting a feature in the upper layout, (2225) retrieving, from the density map, the geometry coverage of a sub-region below the feature, (2230) determining a vertical deviation of the feature using the geometry coverage, (2235) determining an alteration to the modification using the vertical deviation, (2240) applying the alteration to the modification and (2245) repeating for all features.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur