ARM Limited

Royaume‑Uni

Retour au propriétaire

1-100 de 3 312 pour ARM Limited Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Affiner par Reset Report
Date
Nouveautés (dernières 4 semaines) 12
2024 avril (MACJ) 12
2024 mars 22
2024 février 35
2024 janvier 24
Voir plus
Classe IPC
G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions 501
G06F 9/38 - Exécution simultanée d'instructions 418
G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline 160
G06F 12/00 - Accès à, adressage ou affectation dans des systèmes ou des architectures de mémoires 135
G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement 131
Voir plus
Statut
En Instance 383
Enregistré / En vigueur 2 929
Résultats pour  brevets
  1     2     3     ...     34        Prochaine page

1.

DATA STORAGE

      
Numéro d'application 18485419
Statut En instance
Date de dépôt 2023-10-11
Date de la première publication 2024-04-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Symes, Dominic Hugo
  • Holm, Rune

Abrégé

A processor to obtain mapping data indicative of at least one mapping parameter for a plurality of mapping blocks of a multi-dimensional tensor to be mapped. The at least one mapping parameter is for mapping corresponding elements of each mapping block to the same co-ordinate in at least one selected dimension of the multi-dimensional tensor, such that each mapping block corresponds to the same set of co-ordinates in the at least one selected dimension. A co-ordinate of an element of a block of the multi-dimensional tensor is determined. The element is comprised by a mapping block. A physical address in a storage corresponding to the co-ordinate is determined, based on the co-ordinate. The physical address is utilized in a process comprising an interaction between the block of the multi-dimensional tensor and the storage.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

2.

SYSTEM, DEVICES AND/OR PROCESSES FOR EXECUTING A NEURAL NETWORK ARCHITECTURE SEARCH

      
Numéro d'application 17938583
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2024-04-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Tuzi, Gerti

Abrégé

Example methods, apparatuses, and/or articles of manufacture are disclosed that may be implemented, in whole or in part, using one or more computing devices to update parameters of an estimator to estimate and/or predict an execution latency of a neural network in a neural network architecture search (NAS) process.

Classes IPC  ?

  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion

3.

Dynamic Windowing for Processing Event Streams

      
Numéro d'application 17972986
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Licudi, Archie David
  • Bartling, Michael

Abrégé

A method and apparatus to classify processor events is provided. The apparatus includes a reference generator, a warping unit, a correlation unit and a detector. The reference generator provides a self-reference for an event vector stream based on a history of the event vector stream and the warping unit dynamically aligns the event vector stream with the self-reference to generate a warped event vector stream. The correlation unit determines a window-by-window correlation of event vectors of the warped event vector stream, and the detector passes a window of event vectors of the warped event vector stream to a behavioral classifier when the window-by-window correlation achieves a threshold value. The behavioral classifier may use machine learning. A sample reservoir may be used to store dynamically selected event vectors of the event vector stream that are used, at least in part, to generate the self-reference.

Classes IPC  ?

  • G06F 21/56 - Détection ou gestion de programmes malveillants, p.ex. dispositions anti-virus
  • G06F 9/54 - Communication interprogramme

4.

Multi-Port Bitcell Architecture

      
Numéro d'application 17971226
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-04-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Choserot, Vianney Antoine
  • Chen, Andy Wangkun
  • Chong, Yew Keong
  • Thyagarajan, Sriram

Abrégé

Various implementations described herein are related to a device having a storage node with a bitcell. The device may have a first stage that performs a first write based on an internal bitline signal, a first write wordline signal and a second write wordline signal. The first stage outputs the internal bitline signal. The device may have a second stage that receives the internal bitline signal and performs a second write of the internal bitline signal to the bitcell. The device may have a third stage with write wordline ports and write bitline ports. The third stage provides the internal bitline signal based on a selected write wordline signal from a write wordline port of the write wordline ports and based on a selected bitline signal based on a write bitline port of the write bitline ports.

Classes IPC  ?

  • G11C 11/412 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs utilisant des transistors formant des cellules avec réaction positive, c. à d. des cellules ne nécessitant pas de rafraîchissement ou de régénération de la charge, p.ex. multivibrateur bistable, déclencheur de Schmitt utilisant uniquement des transistors à effet de champ
  • G11C 11/418 - Circuits d'adressage
  • G11C 11/419 - Circuits de lecture-écriture [R-W]

5.

APPARATUS AND METHOD FOR OPERATING A CACHE STORAGE

      
Numéro d'application 17973433
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Smekalov, Anton
  • Abhishek Raja, .

Abrégé

Each entry in a cache has type information associated therewith to indicate a type associated with the data item stored in that entry. Lookup circuitry responds to a given lookup request by performing a lookup procedure to determine whether a hit is detected, by default performing the lookup procedure for a given subset of multiple supported types. Invalidation circuitry processes an invalidation request specifying invalidation parameters used to determine an invalidation address range and invalidation type information, in order to invalidate any data items held in the cache storage that are both associated with the invalidation address range and have an associated type that is indicated by the invalidation type information. Whilst processing of the invalidation request is yet to be completed, filtering circuitry performs a filtering operation for a received lookup request, in order to determine, in dependence on an address indication provided by the received lookup request and one or more of the invalidation parameters of the invalidation request, intersection indication data identifying, for a given type in the given subset, whether an intersection is considered to exist between any entries that would be accessed during performance of the lookup procedure for the received lookup request for the given type and any entries that will be invalidated during processing of the invalidation request, and operation of the lookup circuitry is controlled in dependence on the intersection indication data.

Classes IPC  ?

  • G06F 12/0808 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement avec moyen d'invalidation de mémoires cache
  • G06F 12/02 - Adressage ou affectation; Réadressage

6.

Memory Testing Techniques

      
Numéro d'application 18400738
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Chen, Andy Wangkun
  • Jallamion-Grive, Yannis
  • Dray, Cyrille Nicolas

Abrégé

Various implementations described herein refer to a device having an encoder coupled to memory. The ECC encoder receives input data from memory built-in self-test circuitry, generates encoded data by encoding the input data and by adding check bits to the input data, and writes the encoded data to memory. The device may have an ECC decoder coupled to memory. The ECC decoder reads the encoded data from memory, generates corrected data by decoding the encoded data and by extracting the check bits from the encoded data, and provides the corrected data and double-bit error flag as output. The ECC decoder has error correction logic that performs error correction on the decoded data based on the check bits, wherein if the error correction logic detects a multi-bit error in the decoded data, the error correction logic corrects the multi-bit error in the decoded data to provide the corrected data.

Classes IPC  ?

  • G11C 29/42 - Dispositifs de vérification de réponse utilisant des codes correcteurs d'erreurs [ECC] ou un contrôle de parité
  • G06F 11/10 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p.ex. en utilisant des codes de contrôle en ajoutant des chiffres binaires ou des symboles particuliers aux données exprimées suivant un code, p.ex. contrôle de parité, exclusion des 9 ou des 11
  • G06F 11/27 - Tests intégrés
  • G11C 29/00 - Vérification du fonctionnement correct des mémoires; Test de mémoires lors d'opération en mode de veille ou hors-ligne
  • G11C 29/02 - Détection ou localisation de circuits auxiliaires défectueux, p.ex. compteurs de rafraîchissement défectueux
  • G11C 29/34 - Accès simultané à plusieurs bits

7.

CONTROLLING DATA ALLOCATION TO STORAGE CIRCUITRY

      
Numéro d'application 17966071
Statut En instance
Date de dépôt 2022-10-14
Date de la première publication 2024-04-18
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Ghiggini, Stefano
  • Bondarenko, Natalya
  • Nassi, Luca
  • Lacourba, Geoffray Matthieu
  • Sanjeliwala, Huzefa Moiz
  • Dooley, Miles Robert
  • Abhishek Raja, .

Abrégé

An apparatus is provided for controlling the operating mode of control circuitry, such that the control circuitry may change between two operating modes. In an allocation mode, data that is loaded in response to an instruction is allocated into storage circuitry from an intermediate buffer, and the data is read from the storage circuitry. In a non-allocation mode, the data is not allocated to the storage circuitry, and is read directly from intermediate buffer. The control of the operating mode may be performed by mode control circuitry, and the mode may be changed in dependence on the type of instruction that calls the data, and whether the data may be used again in the near future, or whether it is expected to be used only once.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

8.

SETTING CACHE POLICY INFORMATION FOR PREFETCHED CACHE ENTRY

      
Numéro d'application 17965173
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-04-18
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Hornung, Alexander Alfred
  • Gattuso, Roberto

Abrégé

Prefetch circuitry generates, based on stream prefetch state information, prefetch requests for prefetching data to at least one cache. Cache control circuitry controls, based on cache policy information associated with cache entries in a given level of cache, at least one of cache entry replacement in the given level of cache, and allocation of data evicted from the given level of cache to a further level of cache. The stream prefetch state information specifies, for at least one stream of addresses, information representing an address access pattern for generating addresses to be specified by a corresponding series of prefetch requests. Cache policy information for at least one prefetched cache entry of the given level of cache (to which data is prefetched for a given stream of addresses) is set to a value dependent on at least one stream property associated with the given stream of addresses.

Classes IPC  ?

  • G06F 12/0862 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec pré-lecture
  • G06F 12/0811 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement avec hiérarchies de mémoires cache multi-niveaux
  • G06F 12/0871 - Affectation ou gestion d’espace de mémoire cache

9.

STORE TO LOAD FORWARDING USING HASHES

      
Numéro d'application 17965275
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-04-18
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Ishii, Yasuo
  • Kingsbury, Zachary Allen

Abrégé

A data processing apparatus is provided. Decode circuitry decodes a stream of instructions including a store instruction and a load instruction. Prediction circuitry predicts that the load instruction loads data from memory that is stored to the memory by the store instruction and the prediction is based on a hash of a program counter value of the store instruction.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions

10.

DATA STORAGE

      
Numéro d'application 17967297
Statut En instance
Date de dépôt 2022-10-17
Date de la première publication 2024-04-18
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Olson, Jens
  • Brothers, Iii, John Wakefield

Abrégé

A processor to execute a plurality of tasks comprising a first task and a second task. At least a part of the first task is to be executed simultaneously with at least a part of the second task. The processor comprises a handling unit to: determine an available portion of a storage available during execution of the part of the first task; determine a mapping between at least one logical address associated with data associated with the part of the second task and a corresponding at least one physical address of the storage corresponding to the available portion; and identify, based on the mapping, the at least one physical address corresponding to the at least one logical address associated with the data, for storing the data in the available portion of the storage.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]

11.

INSTRUCTION FUSION

      
Numéro d'application 17959556
Statut En instance
Date de dépôt 2022-10-04
Date de la première publication 2024-04-04
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Burky, William Elton
  • Plante, Nicholas Andrew
  • Shulyak, Alexander Cole
  • Knebel, Joshua David
  • Ishii, Yasuo

Abrégé

A data processing apparatus includes detection circuitry that detects a parent instruction and a child instruction from a stream of instructions. The parent instruction references a destination register that is referenced as a source register by the child instruction. Adjustment circuitry then adjusts the child instruction to produce an adjusted child instruction whose behaviour is logically equivalent to a behaviour of executing the parent instruction followed by the child instruction.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions

12.

Techniques for Monitoring Digital Timing Margins

      
Numéro d'application 17959931
Statut En instance
Date de dépôt 2022-10-04
Date de la première publication 2024-04-04
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Herberholz, Rainer
  • Das, Shidhartha

Abrégé

Various implementations described herein are directed to a device having core circuitry and hardware with functional paths and canary paths that are co-located with the functional paths. The device may have timing monitors that monitor and measure digital timing margins of the functional paths and the canary paths during droop events. Also, the device may have a control processor that sets-up parameters for hardware droop mitigation based on the digital timing margins, wherein the control processor calibrates the hardware for droop response or for adaptive clock and power control for droop mitigation based on the digital timing margins.

Classes IPC  ?

  • G06F 1/30 - Moyens pour agir en cas de panne ou d'interruption d'alimentation

13.

A DATA PROCESSING APPARATUS AND METHOD FOR ADDRESS TRANSLATION

      
Numéro d'application 18263665
Statut En instance
Date de dépôt 2022-02-02
Date de la première publication 2024-03-21
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Garcia-Tobin, Carlos
  • Mathewson, Bruce James
  • Evans, Matthew Lucien
  • Grisenthwaite, Richard Roy

Abrégé

An apparatus and method are provided for storing a plurality of translation entries in a cache, each translation entry corresponding to one of a plurality of page table entries and defining a translation between a first address and a second address, and encoding control information indicative of an attribute of each page table entry; returning, in response to a lookup querying a first lookup address, a corresponding second address when the first lookup address corresponds to one of the plurality of translation entries stored in the cache; modifying at least some of the control information in response to notification of a modification of the attribute in a page table entry; and retaining in the cache at least one translation entry corresponding to the page table entry for use in a subsequent address lookup querying a corresponding first lookup address in response to the notification of the modification of the attribute in the page table entry.

Classes IPC  ?

  • G06F 12/1009 - Traduction d'adresses avec tables de pages, p.ex. structures de table de page
  • G06F 12/1027 - Traduction d'adresses utilisant des moyens de traduction d’adresse associatifs ou pseudo-associatifs, p.ex. un répertoire de pages actives [TLB]

14.

Power Prediction Systems, Circuitry and Methods

      
Numéro d'application 17902810
Statut En instance
Date de dépôt 2022-09-03
Date de la première publication 2024-03-21
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Hébert, Nicolas Christophe
  • Das, Shidhartha

Abrégé

According to one implementation of the present disclosure, a method includes: receiving, by a hardware design generation circuit, a plurality of input signals of a software workload on a processing unit; training a power prediction model based on a toggling of the input signals accumulated over a training interval range; determining, by the hardware design generation circuit, a plurality of prediction proxies and respective weightings for the plurality of prediction proxies based at least partially on the trained power prediction model, wherein the plurality of weighted prediction proxies correspond to a power output of the hardware design generation circuit; and generating an updated circuit design of the processing unit based on the power output.

Classes IPC  ?

  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]
  • G06F 30/27 - Optimisation, vérification ou simulation de l’objet conçu utilisant l’apprentissage automatique, p.ex. l’intelligence artificielle, les réseaux neuronaux, les machines à support de vecteur [MSV] ou l’apprentissage d’un modèle
  • G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation

15.

SELECTIVE CONTROL FLOW PREDICTOR INSERTION

      
Numéro d'application 17949874
Statut En instance
Date de dépôt 2022-09-21
Date de la première publication 2024-03-21
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Dundas, James David
  • Ishii, Yasuo
  • Schinzler, Michael Brian

Abrégé

A data processing apparatus includes control flow prediction circuitry that generates a control flow prediction in respect of a group of one or more instructions. Storage circuitry used by the control flow prediction circuitry stores data in association with groups of instructions used to generate the control flow prediction for each of the groups of instructions. Control flow prediction update circuitry inserts new data into the storage circuitry in association with a new group of one or more instructions in dependence on one or more conditions being met when a miss occurs for the group of one or more instructions in the storage circuitry.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions

16.

GRAPHICS PRIMITIVE ASSEMBLY PIPELINE

      
Numéro d'application 18468000
Statut En instance
Date de dépôt 2023-09-15
Date de la première publication 2024-03-21
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Singh, Naveen Kumar
  • Chiu, Hsiang-Wen

Abrégé

There is provided a graphics primitive assembly circuit comprising an early primitive assembly data generator operable to supply primitive input to a shader and a buffer operable to store early primitive assembly data during operation of the shader and to supply the early primitive assembly data to a late primitive assembly circuit element responsive to completion of operation of the shader. The circuit may also include a compressor that compresses the early primitive assembly data to reduce the amount of storage taken up by the buffer and the bandwidth required to transfer the early primitive assembly data.

Classes IPC  ?

17.

DATA PROCESSING SYSTEMS

      
Numéro d'application 18261604
Statut En instance
Date de dépôt 2022-01-24
Date de la première publication 2024-03-14
Propriétaire ARM LIMITED (Royaume‑Uni)
Inventeur(s)
  • Persson, Håkan Lars-Göran
  • Dolzhenko, Vladimir

Abrégé

A data processing system that comprises a processing unit and a communications bus over which bus transactions to access memory can be performed is disclosed. The system includes a codec, and the processing unit can initiate over the communications bus, bus transactions that comprise the codec accessing the memory.

Classes IPC  ?

  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire

18.

ISSUING A SEQUENCE OF INSTRUCTIONS INCLUDING A CONDITION-DEPENDENT INSTRUCTION

      
Numéro d'application 17942554
Statut En instance
Date de dépôt 2022-09-12
Date de la première publication 2024-03-14
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Walker, Matthew James
  • Eyole, Mbou
  • Gabrielli, Giacomo
  • Venu, Balaji
  • Wang, Wei

Abrégé

An apparatus, method and computer program, the apparatus comprising processing circuitry to execute instructions, issue circuitry to issue the instructions for execution by the processing circuitry, and candidate instruction storage circuitry to store a plurality of condition-dependent instructions, each specifying at least one condition. The issue circuitry is configured to issue a given condition-dependent instruction in response to a determination or a prediction of the at least one condition specified by the given condition-dependent instruction being met, and when the given condition-dependent instruction is a sequence-start instruction, the issue circuitry is responsive to the determination or prediction to issue a sequence of instructions comprising the sequence-start instruction and at least one subsequent instruction.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/32 - Formation de l'adresse de l'instruction suivante, p.ex. par incrémentation du compteur ordinal

19.

REGISTER REORGANISATION

      
Numéro d'application 17943407
Statut En instance
Date de dépôt 2022-09-13
Date de la première publication 2024-03-14
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Shen, Xiaoyang
  • Xie, Zichao

Abrégé

An apparatus has processing circuitry with execution units to perform operations, physical registers to store data, and forwarding circuitry to forward the data from the physical registers to the execution units. The forwarding circuitry provides an incomplete set of connections between the physical registers and the execution units such that, for each of at least some of the physical registers, the physical register is connected to only a subset of the execution units. The apparatus also has register renaming circuitry to map logical registers identified by the operations to respective physical registers and register reorganisation circuitry to monitor upcoming operations and to determine, based on the upcoming operations and the connections provided by the forwarding circuitry, whether to perform a register reorganisation procedure to change a mapping between the logical registers and the physical registers. The register reorganisation circuitry is also configured to perform the register reorganisation procedure.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

20.

INPUT CHANNEL PROCESSING FOR TRIGGERED-INSTRUCTION PROCESSING ELEMENT

      
Numéro d'application 17941404
Statut En instance
Date de dépôt 2022-09-09
Date de la première publication 2024-03-14
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Walker, Matthew James
  • Eyole, Mbou
  • Gabrielli, Giacomo
  • Venu, Balaji

Abrégé

One or more triggered-instruction processing elements are provided, a given triggered-instruction processing element comprising execution circuitry to execute processing operations in response to instructions according to a triggered instruction architecture. Input channel processing circuitry receives a given tagged data item (comprising a data value and a tag value) for a given input channel, and in response controls enqueuing of the data value of the given tagged data item to a selected buffer structure selected from among at least two buffer structures mapped onto register storage accessible to one or more of the triggered-instruction processing elements in response to a computation instruction for controlling performance of a computation operation. The selected buffer structure is selected based at least on the tag value, so data values of tagged data items specifying different tag values for the given input channel are allocatable to different buffer structures.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions

21.

METHODS OF CONTROLLING PERMISSION ON A DEVICE

      
Numéro d'application 17943428
Statut En instance
Date de dépôt 2022-09-13
Date de la première publication 2024-03-14
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Bartling, Michael
  • Miller, Derek Del
  • Nutter, Mark Richard
  • Vincent, Hugo John Martin

Abrégé

A computer-implemented method of operating a device is provided. The method comprises operating a sensor to capture a data input, individuating an element of the data input, tagging an individuated element with metadata, matching the metadata with an associated permission set, and applying a restricting function defined in the associated permission set to the individuated element during a process flow to produce augmented reality output data restricted as required by the associated permission set. A device is also provided, comprising a sensor, an individuating component to individuate an element of sensor data from the sensor, a tagging component to tag the individuated element, a matching component to match a tag of the individuated element with a permission of a permission set, and a restricting function component to restrict an application's interaction with the individuated element.

Classes IPC  ?

  • G06F 21/31 - Authentification de l’utilisateur

22.

PROTECTION OF MEMORY USING MULTIPLE ADDRESS TRANSLATION FUNCTIONS

      
Numéro d'application 17944553
Statut En instance
Date de dépôt 2022-09-14
Date de la première publication 2024-03-14
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Avanzi, Roberto

Abrégé

Apparatus, methods, and software for protecting a plurality of memory locations are disclosed. Logical addresses are translated into physical addresses in dependence on one of a first translation function and a second translation function. A transitional logical address and an associated transitional value are locally held in circuitry which applies the translation functions. A remapping of first to second translation function usage is performed by determining a new transitional physical address by applying the second translation function to the transitional logical address; determining a new transitional logical address by applying an inverse of the first translation function to the new transitional physical address; retrieving a new transitional value using the new transitional physical address; storing the old transitional value to the memory location indicated by the new transitional physical address; and locally storing the new transitional value. This remapping can be interleaved with normal memory accesses.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

23.

MONITOR EXCLUSIVE INSTRUCTION

      
Numéro d'application 18261941
Statut En instance
Date de dépôt 2021-12-10
Date de la première publication 2024-03-14
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Horsnell, Matthew James

Abrégé

An apparatus comprises an instruction decoder 20 and processing circuitry 22. Monitoring circuitry 36 monitors one or more events indicative of a potential update to data associated with any of a monitored set of addresses, and makes accessible to software executing on the processing circuitry 22 a monitoring reporting indication indicative of whether any events has occurred for at least one of the monitored set of addresses. In response to decoding of an exclusive status setting instruction specifying a given address, the processing circuitry 22 sets an exclusive status associated with the given address. The exclusive status is cleared in response to detecting an event indicative of a conflicting memory access to the given address. In response to decoding of a monitor exclusive instruction, the processing circuitry 22: determines whether the exclusive status is associated with a target address, and if so allocates the target address to be one of the monitored set of addresses.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions

24.

KEY CAPABILITY STORAGE

      
Numéro d'application 18262458
Statut En instance
Date de dépôt 2021-12-21
Date de la première publication 2024-03-14
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Ayrapetyan, Ruben Borisovich
  • Bramley, Jacob Paul
  • Brodsky, Kevin

Abrégé

Key capability storage circuitry 90 is provided to store a key capability specifying key bounds indicating information indicative of permissible bounds for information specified by any one or more of: a non-capability operand, a capability, or the key capability itself. For a given software compartment executed by the processing circuitry, which lacks a key capability operating privilege associated with at least a portion of the key capability storage circuitry, the processing circuitry is configured to prohibit certain manipulations of the key capability, including a transfer between key capability storage and a memory location selected by the given software compartment. This can help to support temporal safety.

Classes IPC  ?

  • G06F 21/80 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du stockage de données dans les supports de stockage magnétique ou optique, p.ex. disques avec secteurs
  • G06F 21/52 - Contrôle des usagers, programmes ou dispositifs de préservation de l’intégrité des plates-formes, p.ex. des processeurs, des micrologiciels ou des systèmes d’exploitation au stade de l’exécution du programme, p.ex. intégrité de la pile, débordement de tampon ou prévention d'effacement involontaire de données

25.

DATA COMMUNICATION APPARATUS AND METHOD

      
Numéro d'application 18459625
Statut En instance
Date de dépôt 2023-09-01
Date de la première publication 2024-03-14
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Capkevics, Haralds
  • Hay, Timothy Nicholas

Abrégé

Data communication apparatus comprises a receiver comprising message receiver circuitry to receive payload messages and sender control messages from message sender circuitry, the message receiver circuitry comprising: communication circuitry to send receiver control messages to the message sender circuitry, the receiver control messages relating to actions by the message receiver circuitry in response to payload messages or sender control messages from the message sender circuitry; in which the communication circuitry is configured to selectively associate a respective indication with at least some of the receiver control messages sent to the message sender circuitry, the indication indicating whether a given receiver control message with which the indication is associated is a first receiver control message sent by the communication circuitry to the message sender circuitry after a reset of circuitry in the receiver.

Classes IPC  ?

  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission
  • H04L 49/90 - Dispositions de mémoires tampon

26.

Processing of issued instructions

      
Numéro d'application 17941387
Numéro de brevet 11966739
Statut Délivré - en vigueur
Date de dépôt 2022-09-09
Date de la première publication 2024-03-14
Date d'octroi 2024-04-23
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Walker, Matthew James
  • Eyole, Mbou
  • Gabrielli, Giacomo
  • Venu, Balaji

Abrégé

There is provided an apparatus, method and medium for data processing. The apparatus comprises a register file comprising a plurality of data registers, and frontend circuitry responsive to an issued instruction, to control processing circuitry to perform a processing operation to process an input data item to generate an output data item. The processing circuitry is responsive to a first encoding of the issued instruction specifying a data register, to read the input data item from the data register, and/or write the output data item to the data register. The processing circuitry is responsive to a second encoding of the issued instruction specifying a buffer-region of the register file for storing a queue of data items, to perform the processing operation and to perform a dequeue operation to dequeue the input data item from the queue, and/or perform an enqueue operation to enqueue the output data item to the queue.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption

27.

COUNTER TREE

      
Numéro d'application 18446528
Statut En instance
Date de dépôt 2023-08-09
Date de la première publication 2024-03-07
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Klimov, Alexander
  • Sandberg, Andreas Lars
  • Avanzi, Roberto

Abrégé

An apparatus comprises counter tree circuitry configured to store, in a first node of a counter tree, a representation of a parent counter value and in a second node of the counter tree, wherein the second node is a child node of the first node, an encrypted representation of two or more counter values. The encryption operation for forming the encrypted representation of the two or more counter values takes as an input the parent counter value. The apparatus also comprises integrity checking circuitry to check the integrity of an item of data retrieved from memory based on a comparison between a stored authentication code and a generated authentication code generated based on the item of data and a decrypted counter value determined from an encrypted representation of a counter value retrieved from the second node, decrypted using a parent counter value retrieved from the first node.

Classes IPC  ?

  • G06F 21/60 - Protection de données
  • G06F 21/74 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du calcul ou du traitement de l’information opérant en mode dual ou compartimenté, c. à d. avec au moins un mode sécurisé

28.

COUNTER INTEGRITY TREE

      
Numéro d'application 18446530
Statut En instance
Date de dépôt 2023-08-09
Date de la première publication 2024-03-07
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Sandberg, Andreas Lars
  • Avanzi, Roberto
  • Klimov, Alexander

Abrégé

An apparatus comprises counter integrity tree circuitry to maintain a counter integrity tree having a plurality of nodes. The counter integrity tree circuitry is configured to store, in a first node of the counter integrity tree, an encrypted representation of two or more non-repeating counters and in a second, parent, node, an indication of a function value equal to a non-repeating function of the two or more non-repeating counters of the first node. The apparatus comprises integrity checking circuitry configured to check the integrity of the first node using the function value retrieved from the second node.

Classes IPC  ?

  • H04L 9/32 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité comprenant des moyens pour vérifier l'identité ou l'autorisation d'un utilisateur du système

29.

CACHE SYSTEMS

      
Numéro d'application 18446535
Statut En instance
Date de dépôt 2023-08-09
Date de la première publication 2024-03-07
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Uhrenholt, Olof Henrik

Abrégé

A method of operating a cache system is disclosed. When it is desired to evict a cache entry from the cache, a cache entry to evict from the cache is selected using an age of any compression block that the cache is caching data for, and the selected cache entry is evicted from the cache.

Classes IPC  ?

  • G06F 12/0891 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache utilisant des moyens d’effacement, d’invalidation ou de réinitialisation

30.

GRAPHICS PROCESSING

      
Numéro d'application 18457660
Statut En instance
Date de dépôt 2023-08-29
Date de la première publication 2024-03-07
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Bruce, Richard Edward

Abrégé

Disclose herein is a method of operating a graphics processor when performing ray tracing. During a traversal of the nodes of an acceleration data structure, when a parent node that encompasses multiple child node volumes is encountered, a group of rays is tested against the child node volumes to determine which child nodes may need to be visited next. Rather than simply visiting the nodes based on the order in which they are found to be interested, the node traversal order is instead determined based on the group of rays.

Classes IPC  ?

31.

WRITE-BACK RESCHEDULING

      
Numéro d'application 17900975
Statut En instance
Date de dépôt 2022-09-01
Date de la première publication 2024-03-07
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Shen, Xiaoyang
  • Xie, Zichao
  • Intesa, Leonardo

Abrégé

An apparatus has processing circuitry with one or more execution units to perform operations in response to instructions. The apparatus also has registers to store data accessed by the processing circuitry and forwarding circuitry to forward results of the operations from the execution units to be written back to the registers and to the execution units for use as operands of further operations. The apparatus also has write-back reschedule circuitry which for each operation causes an execution unit performing the operation to stall the operation prior to a write-back stage of the execution unit and determine, based on monitoring subsequent operations whether to forward the result of the operation to be written back to a register or to forward the result to an execution unit. The write-back reschedule circuitry also controls the forwarding circuitry to forward the result according to the determination.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

32.

ALLOCATION OF STORE REQUESTS

      
Numéro d'application 17903293
Statut En instance
Date de dépôt 2022-09-06
Date de la première publication 2024-03-07
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Ishii, Yasuo

Abrégé

There is provided an apparatus, method and medium. The apparatus comprises a store buffer to store a plurality of store requests, where each of the plurality of store requests identifies a storage address and a data item to be transferred to storage beginning at the storage address, where the data item comprises a predetermined number of bytes. The apparatus is responsive to a memory access instruction indicating a store operation specifying storage of N data items, to determine an address allocation order of N consecutive store requests based on a copy direction hint indicative of whether the memory access instruction is one of a sequence of memory access instructions each identifying one of a sequence of sequentially decreasing addresses, and to allocate the N consecutive store requests to the store buffer in the address allocation order.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

33.

Systems, Devices, and Methods of Charge-Based Storage Elements

      
Numéro d'application 17902798
Statut En instance
Date de dépôt 2022-09-02
Date de la première publication 2024-03-07
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Prasad, Divya Madapusi Srinivas
  • Pietromonaco, David Victor
  • Cline, Brian Tracy
  • Bhargave, Mudit

Abrégé

According to one implementation of the present disclosure, a circuit structure is configured to store charge in a charge-based storage element, where the charge-based storage element is disposed at least partially in a shallow-trench-isolation (STI) region of the circuit. According to one implementation of the present disclosure, a method includes: providing a circuit structure disposed on a substrate and a shallow-trench-isolation (STI) region of a circuit; forming an opening of the substrate and the STI region by removing a portion of the substrate and STI region; placing a first liner material in the opening and on remaining portions of the substrate and the STI region; and depositing a first metal layer in the opening on the first liner material.

Classes IPC  ?

  • H01L 27/108 - Structures de mémoires dynamiques à accès aléatoire

34.

TECHNIQUE FOR PROVIDING A TRUSTED EXECUTION ENVIRONMENT

      
Numéro d'application 17903267
Statut En instance
Date de dépôt 2022-09-06
Date de la première publication 2024-03-07
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Moran, Brendan James
  • Shaw, Adrian Laurence
  • Sandberg, Andreas Lars

Abrégé

An apparatus and method are described for providing a trusted execution environment. The apparatus comprises processing circuitry to execute program code, and interrupt controller circuitry, responsive to receipt of one or more interrupt requests, to select a given interrupt request from amongst the one or more interrupt requests, and to issue an interrupt signal to the processing circuitry identifying a given interrupt service routine providing program code to be executed by the processing circuitry to service the given interrupt request. The interrupt controller circuitry is responsive to the given interrupt request being a trusted execution environment (TEE) interrupt request, to issue the interrupt signal to identify as the given interrupt service routine a TEE interrupt service routine, and to inhibit issuance of any further interrupt signal until the TEE interrupt service routine has been executed by the processing circuitry. The interrupt controller circuitry comprises code protection circuitry to inhibit unauthorised modification of the TEE interrupt service routine, and data protection circuitry to inhibit unauthorised access to confidential data processed by the TEE interrupt service routine.

Classes IPC  ?

  • G06F 21/60 - Protection de données
  • G06F 21/53 - Contrôle des usagers, programmes ou dispositifs de préservation de l’intégrité des plates-formes, p.ex. des processeurs, des micrologiciels ou des systèmes d’exploitation au stade de l’exécution du programme, p.ex. intégrité de la pile, débordement de tampon ou prévention d'effacement involontaire de données par exécution dans un environnement restreint, p.ex. "boîte à sable" ou machine virtuelle sécurisée

35.

CONTEXT INFORMATION TRANSLATION CACHE

      
Numéro d'application 18259827
Statut En instance
Date de dépôt 2021-11-25
Date de la première publication 2024-02-29
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Swaine, Andrew Brookfield
  • Grisenthwaite, Richard Roy

Abrégé

A context-information-dependent instruction causes a context-information-dependent operation to be performed based on specified context information indicative of a specified execution context. A context information translation cache 10 stores context information translation entries each specifying untranslated context information and translated context information. Lookup circuitry 14 performs a lookup of the context information translation cache based on the specified context information, to identify whether the context information translation cache includes a matching context information translation entry which is valid and which specifies untranslated context information corresponding to the specified context information. When the matching context information translation entry is identified, the context-information-dependent operation is performed based on the translated context information specified by the matching context information translation entry.

Classes IPC  ?

  • G06F 12/0811 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement avec hiérarchies de mémoires cache multi-niveaux
  • G06F 12/0837 - Protocoles de cohérence de mémoire cache avec commande par logiciel, p.ex. données ne pouvant pas être mises en mémoire cache
  • G06F 12/0875 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec mémoire cache dédiée, p.ex. instruction ou pile

36.

METHOD, APPARATUS AND PROGRAM FOR ADJUSTING AN EXPOSURE LEVEL

      
Numéro d'application 18446540
Statut En instance
Date de dépôt 2023-08-09
Date de la première publication 2024-02-29
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Talagala, Dumidu Sanjaya
  • Lluis Gomez, Alexis Leonardo
  • Hellewell, Matthew Adam
  • Novikov, Maxim

Abrégé

A method for adjusting an exposure level of an image sensor. The method comprises receiving intensity values of a current image captured by the image sensor, the image sensor having an initial exposure level when the current image is captured. The method comprises determining an intensity status based on comparing at least one characteristic of at least the current intensity values to one or more criteria. The method comprises selecting an exposure convergence mode, from a plurality of exposure convergence modes, based on the intensity status. The method comprises calculating, based on the current intensity values and the exposure convergence mode, a new exposure level for use by the image sensor in capturing a subsequent image.

Classes IPC  ?

  • H04N 23/73 - Circuits de compensation de la variation de luminosité dans la scène en influençant le temps d'exposition
  • G06T 5/00 - Amélioration ou restauration d'image

37.

SYSTEM, DEVICES AND/OR PROCESSES FOR ADAPTIVE IMAGE RESOLUTION SCALING

      
Numéro d'application 17823444
Statut En instance
Date de dépôt 2022-08-30
Date de la première publication 2024-02-29
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Novikov, Maxim
  • Wang, Yanxiang
  • Indovina, Ignazio
  • Croxford, Daren

Abrégé

Example methods, apparatuses, and/or articles of manufacture are disclosed that may implement, in whole or in part, techniques to process portions of an image frame according to a level of diminished signal information. Portions of an image frame experiencing diminished signal information may be sampled a lower rate/more sparsely to reduce impacts to downstream image processing resources.

Classes IPC  ?

  • H04N 19/59 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le codage prédictif mettant en œuvre un sous-échantillonnage spatial ou une interpolation spatiale, p.ex. modification de la taille de l’image ou de la résolution
  • G06T 5/00 - Amélioration ou restauration d'image
  • G06T 7/11 - Découpage basé sur les zones
  • G06V 10/44 - Extraction de caractéristiques locales par analyse des parties du motif, p.ex. par détection d’arêtes, de contours, de boucles, d’angles, de barres ou d’intersections; Analyse de connectivité, p.ex. de composantes connectées
  • H04N 19/176 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le codage adaptatif caractérisés par l’unité de codage, c. à d. la partie structurelle ou sémantique du signal vidéo étant l’objet ou le sujet du codage adaptatif l’unité étant une zone de l'image, p.ex. un objet la zone étant un bloc, p.ex. un macrobloc

38.

Branch predictor triggering

      
Numéro d'application 17960390
Numéro de brevet 11915005
Statut Délivré - en vigueur
Date de dépôt 2022-10-05
Date de la première publication 2024-02-27
Date d'octroi 2024-02-27
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Lee, Chang Joo
  • Schinzler, Michael Brian
  • Ishii, Yasuo
  • Schuler, Sergio

Abrégé

A data processing apparatus includes receive circuitry that receives an indication of a trigger block of instructions. Branch prediction circuitry provides, in response to the trigger block of instructions, branch predictions in respect of a branch within: a subsequent block of instructions subsequent to the trigger block of instructions in execution order, when in a 1-taken mode of operation and a later block of instructions subsequent to the subsequent block of instructions in execution order, when in a 2-taken mode of operation

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions

39.

Circuitry and method

      
Numéro d'application 17893342
Numéro de brevet 11914509
Statut Délivré - en vigueur
Date de dépôt 2022-08-23
Date de la première publication 2024-02-27
Date d'octroi 2024-02-27
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Cooper, Richard Jared

Abrégé

Circuitry comprises memory address translation circuitry to access memory circuitry storing translation information defining memory address translations from input memory addresses to respective output memory addresses; in which the translation information stored by the memory circuitry comprises a hierarchy of page table levels from a highest page table level to a lowest page table level, each page table level having one or more level tables each comprising two or more entries, in which an entry of a level table at a page table level other than a last page table level of the hierarchy points to a level table at a next lower page table level in the hierarchy; the memory address translation circuitry being configured to select an entry of a level table at each page table level according to a selection value, the selection value being dependent upon a portion, applicable to that page table level, of a given input memory address; in which the memory circuitry is configured to store entries as groups of entries, a group of entries being accessible by a single memory retrieval operation; and in which, for at least a subset of the page table levels, a group of entries stored by the memory circuitry comprises a set of entries from two or more respective level tables.

Classes IPC  ?

  • G06F 12/02 - Adressage ou affectation; Réadressage

40.

Apparatus and method for operating a cache storage

      
Numéro d'application 17949607
Numéro de brevet 11914518
Statut Délivré - en vigueur
Date de dépôt 2022-09-21
Date de la première publication 2024-02-27
Date d'octroi 2024-02-27
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Levy, Yoav Asher
  • Kadosh, Elad
  • Fries, Jakob Axel
  • Bandal, Lior-Levi

Abrégé

A cache is provided having a plurality of entries for storing data. In response to a given access request, lookup circuitry performs a lookup operation in the cache to determine whether one of the entries in the cache is allocated to store data associated with the memory address indicated by the given access request, with a hit indication or a miss indication being generated dependent on the outcome of that lookup operation. During a single lookup period, the lookup circuitry is configured to perform lookup operations in parallel for up to N access requests. In addition, allocation circuitry is provided that is able to determine, during the single lookup period, at least N candidate entries for allocation from amongst the plurality of entries, and to cause one of the candidate entries to be allocated for each of the up to N access requests for which the lookup circuitry generates a miss indication.

Classes IPC  ?

  • G06F 12/0884 - Mode parallèle, p.ex. en parallèle avec la mémoire principale ou l’unité centrale [CPU]

41.

LOAD CHUNK INSTRUCTION AND STORE CHUNK INSTRUCTION

      
Numéro d'application 18260972
Statut En instance
Date de dépôt 2021-12-09
Date de la première publication 2024-02-22
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Grant, Alasdair
  • Monteith, Stuart Robert Douglas

Abrégé

Processing circuitry (16) and an instruction decoder (9) supports a load chunk instruction and a store chunk instruction which can be useful for implementing memory copy functions and other library functions for manipulating or comparing blocks of memory. Number of bytes to load or store in response to these instructions is determined based on an implementation specific condition. As well as loading or storing bytes of data, the load chunk instruction and (10) store chunk instruction also designated a load/store length value as data corresponding to an architecturally visible register, which provides an indication of a number of bytes loaded or stored.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

42.

METHOD, APPARATUS AND PROGRAM FOR PROCESSING AN IMAGE

      
Numéro d'application 18363234
Statut En instance
Date de dépôt 2023-08-01
Date de la première publication 2024-02-22
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Talagala, Dumidu Sanjaya
  • Lluis Gomez, Alexis Leonardo
  • Hellewell, Matthew Adam
  • Novikov, Maxim

Abrégé

A method for processing an image captured by an image sensor. The method comprises receiving first pre-tonemapped intensity values of a first image captured by the image sensor. The method comprises applying a first tonemapping function having a first tonemapping strength to the first pre-tonemapped intensity values to generate first tonemapped intensity values. The method comprises receiving second pre-tonemapped intensity values of a second image captured by the image sensor, the second image captured subsequent to the first image. The method comprises, based on a difference between the first tonemapped intensity values and a target for the first tonemapped intensity values, determining a second tonemapping function having a second tonemapping strength. The method comprises applying the second tonemapping function to the second pre-tonemapped intensity values to generate second tonemapped intensity values.

Classes IPC  ?

  • H04N 23/741 - Circuits de compensation de la variation de luminosité dans la scène en augmentant la plage dynamique de l'image par rapport à la plage dynamique des capteurs d'image électroniques
  • H04N 23/71 - Circuits d'évaluation de la variation de luminosité
  • H04N 23/76 - Circuits de compensation de la variation de luminosité dans la scène en agissant sur le signal d'image

43.

METHOD, APPARATUS AND PROGRAM FOR PROCESSING AN IMAGE

      
Numéro d'application 17820055
Statut En instance
Date de dépôt 2022-08-16
Date de la première publication 2024-02-22
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Talagala, Dumidu Sanjaya
  • Lluis Gomez, Alexis Leonardo
  • Hellewell, Matthew Adam
  • Novikov, Maxim

Abrégé

A method for processing an image. The method comprises receiving intensity values for each of a plurality of images captured by an image sensor during a detection window. The method comprises identifying, using the intensity values, one or more transitions occurring during the detection window, the one or more transitions each comprising a transition between an image in which a maximum clipping criterion is not satisfied and an image in which the maximum clipping criterion is satisfied. The method comprises, based on the identified transitions, at least one of: adjusting an exposure level of the image sensor; and determining a tonemapping function having a tonemapping strength, and applying the tonemapping function to the intensity values of a current image to generate tonemapped intensity values, wherein the image sensor has a current exposure level when the current image is captured.

Classes IPC  ?

  • H04N 5/235 - Circuits pour la compensation des variations de la luminance de l'objet
  • G06T 5/00 - Amélioration ou restauration d'image

44.

COMPRESSION AND DECOMPRESSION FOR NEURAL NETWORKS

      
Numéro d'application 17820077
Statut En instance
Date de dépôt 2022-08-16
Date de la première publication 2024-02-22
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Edsö, Tomas Fredrik
  • Marigi, Rajanarayana Priyanka

Abrégé

Data processing systems, methods, and storage medium for implementing convolutional processes are provided. The data processing system includes a convolution engine and a set of weight decoders including a first weight decoder and a second weight decoder that implement a first decompression function and a second decompression function respectively. A weight decoder selection module for selecting a weight decoder from the set of weight decoders is provided. The data processing system, receives a compressed set of weight values, selects a weight decoder using the weight decoder selection module, and processes the compressed set of weight values using the selected weight decoder to obtain an uncompressed set of weight values. The uncompressed set of weight values are provided to the convolution engine. A corresponding data processing system, method, and storage medium for generating the compressed set of weight values is also provided.

Classes IPC  ?

45.

TECHNIQUE FOR CONTROLLING STASHING OF DATA

      
Numéro d'application 17890456
Statut En instance
Date de dépôt 2022-08-18
Date de la première publication 2024-02-22
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Shamis, Pavel
  • Nagarahalli, Honnappa
  • Jalal, Jamshed

Abrégé

There is provided an apparatus, method, and computer-readable medium. The apparatus comprises interconnect circuitry to couple a device to one or more processing elements and to one or more storage structures. The apparatus also comprises stashing circuitry configured to receive stashing transactions from the device, each stashing transaction comprising payload data and control data. The stashing circuitry is responsive to a given stashing transaction whose control data identifies a plurality of portions of the payload data, to perform a plurality of independent stashing decision operations, each of the plurality of independent stashing decision operations corresponding to a respective portion of the plurality of portions of payload data and comprising determining, with reference to the control data, whether to direct the respective portion to one of the one or more storage structures or whether to forward the respective portion to memory.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

46.

Determining whether to perform an additional lookup of tracking circuitry

      
Numéro d'application 18101602
Numéro de brevet 11907130
Statut Délivré - en vigueur
Date de dépôt 2023-01-26
Date de la première publication 2024-02-20
Date d'octroi 2024-02-20
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Hornung, Alexander Alfred
  • Yeoh, Kenny Ju Min

Abrégé

An apparatus comprising a cache comprising a plurality of cache entries, cache access circuitry responsive to a cache access request to perform, based on a target memory address associated with the cache access request, a cache lookup operation, tracking circuitry to track pending requests to modify cache entries of the cache, and prediction circuitry responsive to the cache access request to make a prediction of whether the pending requests tracked by the tracking circuitry include a pending request to modify a cache entry associated with the target memory address, wherein the cache access circuitry is responsive to the cache access request to determine, based on the prediction, whether to perform an additional lookup of the tracking circuitry. A method and a non-transitory computer-readable medium to store computer-readable code for fabrication of the apparatus are also provided.

Classes IPC  ?

  • G06F 12/0877 - Modes d’accès à la mémoire cache
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 12/0864 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache utilisant des moyens pseudo-associatifs, p.ex. associatifs d’ensemble ou de hachage

47.

SYSTEM, METHOD AND/OR APPARATUS FOR CONTROLLING A POWER SIGNAL

      
Numéro d'application 17818670
Statut En instance
Date de dépôt 2022-08-09
Date de la première publication 2024-02-15
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Huang, Chi-Hsiang
  • Das, Shidhartha
  • Labbe, Benoit

Abrégé

Briefly, embodiments, such as methods, systems and/or circuits for controlling a power signal to be supplied to a processing device. In one aspect, a magnitude of a power supplied to a processing device may be changed based, at least in part on an estimated and/or predicted load.

Classes IPC  ?

  • G01R 31/317 - Tests de circuits numériques
  • H02J 3/00 - Circuits pour réseaux principaux ou de distribution, à courant alternatif

48.

Dynamic Way-Based Variable Pipeline Architecture for On-Chip Memory

      
Numéro d'application 17885747
Statut En instance
Date de dépôt 2022-08-11
Date de la première publication 2024-02-15
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Mccombs, Jr., Edward Martin

Abrégé

An on-chip memory is provided. The memory includes wordline sections, input/output (I/O) circuitry, and control circuitry. Each wordline section includes a number of wordlines, and each wordline section is coupled to a different wordline control circuitry. The control circuitry is configured to, in response to receiving an access request including an address, decode the address including determine, based on the address, an associated wordline, and determine, based on the associated wordline, an associated wordline section. The control circuitry is further configured to apply power to wordline control circuitry coupled to the associated wordline section, and access the address.

Classes IPC  ?

  • G11C 8/08 - Circuits de commande de lignes de mots, p.ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, pour lignes de mots
  • G11C 7/10 - Dispositions d'interface d'entrée/sortie [E/S, I/O] de données, p.ex. circuits de commande E/S de données, mémoires tampon de données E/S
  • G11C 8/18 - Circuits de synchronisation ou d'horloge; Génération ou gestion de signaux de commande d'adresse, p.ex. pour des signaux d'échantillonnage d'adresse de ligne [RAS] ou d'échantillonnage d'adresse de colonne [CAS]

49.

BEHAVIORAL SENSOR FOR CREATING CONSUMABLE EVENTS

      
Numéro d'application 17887927
Statut En instance
Date de dépôt 2022-08-15
Date de la première publication 2024-02-15
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Moran, Brendan James
  • Vincent, Hugo John Martin
  • Bartling, Michael

Abrégé

A behavioral sensor for creating consumable events can include: a feature extractor coupled to receive an event stream of events performed by a circuit, wherein the feature extractor identifies features of a particular event of the event stream and associates the particular event with a time; and a classifier coupled to receive the features of the particular event from the feature extractor, wherein the classifier classifies the particular event into a classified event associated with the time using predefined categories based on the received features of the particular event; whereby the classified event and subsequent classified events extracted from the event stream within a time frame are appended in a time series forming the consumable events.

Classes IPC  ?

  • G06F 11/34 - Enregistrement ou évaluation statistique de l'activité du calculateur, p.ex. des interruptions ou des opérations d'entrée–sortie
  • G06K 9/62 - Méthodes ou dispositions pour la reconnaissance utilisant des moyens électroniques

50.

Burst Read with Flexible Burst Length for On-Chip Memory

      
Numéro d'application 17885709
Statut En instance
Date de dépôt 2022-08-11
Date de la première publication 2024-02-15
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Mccombs, Jr., Edward Martin
  • Tune, Andrew David
  • Salisbury, Sean James
  • Mathur, Rahul
  • Chen, Hsin-Yu
  • Chalasani, Phani Raja Bhushan

Abrégé

A burst read with flexible burst length for on-chip memory, such as, for example, system cache memory, hierarchical cache memory, system memory, etc. is provided. Advantageously, successive burst reads are performed with less signal toggling and fewer bitline swings.

Classes IPC  ?

  • G11C 11/4096 - Circuits de commande ou de gestion d'entrée/sortie [E/S, I/O] de données, p.ex. circuits pour la lecture ou l'écriture, circuits d'attaque d'entrée/sortie ou commutateurs de lignes de bits
  • G11C 11/4094 - Circuits de commande ou de gestion de lignes de bits
  • G11C 11/408 - Circuits d'adressage
  • G11C 11/4091 - Amplificateurs de lecture ou de lecture/rafraîchissement, ou circuits de lecture associés, p.ex. pour la précharge, la compensation ou l'isolation des lignes de bits couplées

51.

Dynamic Power Management for On-Chip Memory

      
Numéro d'application 17885753
Statut En instance
Date de dépôt 2022-08-11
Date de la première publication 2024-02-15
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Mccombs, Jr., Edward Martin

Abrégé

Dynamic power management for an on-chip memory, such as a system cache memory as well as other memories, is provided. The memory includes wordline sections, input/output (I/O) circuitry, and control circuitry. Each wordline section includes a number of wordlines, and each wordline section is coupled to a different wordline control circuitry. The control circuitry is configured to, in response to receiving an access request including an address, decode the address including determine, based on the address, an associated wordline, and determine, based on the associated wordline, an associated wordline section. The control circuitry is further configured to apply power to wordline control circuitry coupled to the associated wordline section.

Classes IPC  ?

  • G11C 8/08 - Circuits de commande de lignes de mots, p.ex. circuits d'attaque, de puissance, de tirage vers le haut, d'abaissement, circuits de précharge, pour lignes de mots
  • G11C 8/10 - Décodeurs

52.

Circuitry and Method

      
Numéro d'application 17885780
Statut En instance
Date de dépôt 2022-08-11
Date de la première publication 2024-02-15
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Tune, Andrew David
  • Salisbury, Sean James
  • Mccombs, Jr., Edward Martin

Abrégé

Circuitry including cache storage and control circuitry is provided. The cache storage includes an array of random access memory storage elements, and is configured to store data in multiple cache sectors, each cache sector including a number of cache storage data units. The control circuitry is configured to control access to the cache storage including, for example, accessing the cache storage data units in the cache sectors. After accessing a cache storage data unit in a cache sector, the energy requirement and/or latency for the next access to a cache storage data unit in the same sector is lower than the energy requirement and/or latency for the next access to a cache storage data unit in a different same sector.

Classes IPC  ?

  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache

53.

SYSTEM, DEVICES AND/OR PROCESSES FOR DEFINING A SEARCH SPACE FOR NEURAL NETWORK PROCESSING DEVICE ARCHITECTURES

      
Numéro d'application 17817142
Statut En instance
Date de dépôt 2022-08-03
Date de la première publication 2024-02-08
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Tann, Hokchhay
  • Navarro, Ramon Matas
  • Fedorov, Igor
  • Zhou, Chuteng
  • Whatmough, Paul Nicholas
  • Mattina, Matthew

Abrégé

Example methods, apparatuses, and/or articles of manufacture are disclosed that may be implemented, in whole or in part, using one or more computing devices to determine options for decisions in connection with design features of a computing device. In a particular implementation, design options for two or more design decisions of neural network processing device may be identified based, at least in part, on combination of a definition of available computing resources and one or more predefined performance constraints.

Classes IPC  ?

  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion

54.

WEIGHT PROCESSING FOR A NEURAL NETWORK

      
Numéro d'application 17880285
Statut En instance
Date de dépôt 2022-08-03
Date de la première publication 2024-02-08
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Brothers, Iii, John Wakefield

Abrégé

Systems and methods for processing data for a neural network are described. The system comprises non-transitory memory configured to receive data bits defining a kernel of weights, the data bits being suitable for processing input data; and a data processing unit, configured to: receive bits defining a kernel of weights for the neural network, the kernel of weights comprising one or more non-zero value weights and one or more zero-valued weights; generate a set of mask bits, a position of each bit in the set of mask bits corresponds to a position within the kernel of weights and the value of each bit indicates whether a weight in the corresponding position is a zero-valued weight or a non-zero value weight; and transmit the non-zero value weights and the set of mask bits for storage, the non-zero value weights and the set of mask bits represent the kernel of weights.

Classes IPC  ?

  • H03M 7/30 - Compression; Expansion; Elimination de données inutiles, p.ex. réduction de redondance
  • G06F 7/544 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p.ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul

55.

TECHNIQUE FOR TRACKING MODIFICATION OF CONTENT OF REGIONS OF MEMORY

      
Numéro d'application 18258849
Statut En instance
Date de dépôt 2021-12-08
Date de la première publication 2024-02-08
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Swaine, Andrew Brookfield
  • Uhrenholt, Olof Henrik

Abrégé

Address translation circuitry (20) converts virtual addresses into physical addresses with reference to intermediate level and final level page tables. Final level descriptors within final level page tables identify address translation data for an associated region of memory. Intermediate level descriptors within intermediate level page tables identify intermediate address translation data used to identify an associated page table at a next level of the page tables. Page table update circuitry (35) maintains state information within each final and intermediate level descriptor, and updates the state information from a clean state to a dirty state: in the final level descriptors to indicate that a modification of content of the associated memory region is permitted; in the intermediate level descriptors to indicate occurrence of an update from the clean state to the dirty state within the state information of any final level descriptors that are accessed via that intermediate level descriptor.

Classes IPC  ?

  • G06F 12/0811 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement avec hiérarchies de mémoires cache multi-niveaux
  • G06F 12/0846 - Mémoire cache avec matrices multiples d’étiquettes ou de données accessibles simultanément
  • G06F 12/1009 - Traduction d'adresses avec tables de pages, p.ex. structures de table de page

56.

Method and Apparatus for Converting to Enhanced Block Floating Point Format

      
Numéro d'application 17878277
Statut En instance
Date de dépôt 2022-08-01
Date de la première publication 2024-02-08
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Burgess, Neil
  • Ha, Sangwon
  • Maji, Partha Prasun

Abrégé

An apparatus and method of converting data into an Enhanced Block Floating Point (EBFP) format with a shared exponent is provided. The EBFP format enables data within a wide range of values to be stored using a reduced number of bits compared with conventional floating-point or fixed-point formats. The data to be converted may be in any other format, such as fixed-point, floating-point, block floating-point or EBFP.

Classes IPC  ?

  • G06F 5/01 - Procédés ou dispositions pour la conversion de données, sans modification de l'ordre ou du contenu des données maniées pour le décalage, p.ex. la justification, le changement d'échelle, la normalisation

57.

FLOATING-POINT NUMBER DECODER

      
Numéro d'application 18199151
Statut En instance
Date de dépôt 2023-05-18
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Burgess, Neil
  • Ha, Sangwon
  • Maji, Partha Prasun

Abrégé

In a data processor, an input datum, having a sign, a tag and a payload, is decoded by first determining a format of the payload based on the tag. For a first format, an exponent difference and an output fraction are decoded from the payload. For a second format, an exponent difference is decoded from the payload and the output fraction may be assumed to be zero. The exponent difference is subtracted from a shared exponent to produce the output exponent. The decoded output may be stored in a standard format for floating-point numbers.

Classes IPC  ?

  • G06F 7/483 - Calculs avec des nombres représentés par une combinaison non linéaire de nombres codés, p.ex. nombres rationnels, système de numération logarithmique ou nombres à virgule flottante

58.

GRAPHICS PROCESSING

      
Numéro d'application 18357461
Statut En instance
Date de dépôt 2023-07-24
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Stoye, William Robert
  • Uhrenholt, Olof Henrik
  • Wong, Wing-Tsi Henry
  • Hardy, Edward
  • Brkic, Toni Viki
  • Ruud, Ole Magnus

Abrégé

When performing tile-based rendering in a graphics processing system, lists indicative of fragments to be processed are maintained for respective sub-regions of tiles to be rendered, with each list entry including, inter alia, at least an indication of the coverage within the tile sub-region of the group of fragments that the list entry represents, and an indication of whether the group of fragments that the list entry represents is eligible to undergo particular processing operations. The coverage information and eligibility information for the list entries is then used to control the processing of fragments for sub-regions of a tile, in such a way as to ensure that processing order dependencies are enforced and met.

Classes IPC  ?

  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 11/00 - Génération d'images bidimensionnelles [2D]

59.

GRAPHICS PROCESSING

      
Numéro d'application 18357481
Statut En instance
Date de dépôt 2023-07-24
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Stoye, William Robert
  • Uhrenholt, Olof Henrik
  • Wong, Wing-Tsi Henry
  • Hardy, Edward
  • Brkic, Toni Viki
  • Ruud, Ole Magnus

Abrégé

When performing tile-based rendering in a graphics processing system, lists indicative of fragments to be processed are maintained for respective sub-regions of tiles to be rendered, with each list entry representing a group of one or more fragments and including an indication of the coverage within the tile sub-region of the group of fragments that the list entry represents. The coverage information for the list entries is then used to set for entries in the list indicative of fragments to be processed for a sub-region, information indicating whether one or more processing operations are eligible to be performed for fragments that entries in the list represent.

Classes IPC  ?

  • G06T 17/20 - Description filaire, p.ex. polygonalisation ou tessellation
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline

60.

EFFICIENT TASK ALLOCATION

      
Numéro d'application 18358995
Statut En instance
Date de dépôt 2023-07-26
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Chalfin, Alexander Eugene
  • Brothers, Iii, John Wakefield
  • Holm, Rune
  • Martin, Samuel James Edward

Abrégé

A method and processor comprising a command processing unit to receive, from a host processor, a sequence of commands to be executed; and generate based on the sequence of commands a plurality of tasks. The processor also comprises a plurality of compute units each having a first processing module for executing tasks of a first task type, a second processing module for executing tasks of a second task type, different from the first task type, and a local cache shared by at least the first processing module and the second processing module. The command processing unit issues the plurality of tasks to at least one of the plurality of compute units, and wherein at least one of the plurality of compute units is to process at least one of the plurality of tasks.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline

61.

CACHE OPERATION IN DATA PROCESSING SYSTEMS

      
Numéro d'application 18358999
Statut En instance
Date de dépôt 2023-07-26
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Shcherbina, Nikolai
  • Halsaunet, Inge Edward

Abrégé

In a data processing system comprising a first cache operable to store data for use when performing a data processing operation, and a second cache operable to store data required for fetching data into the first cache from memory, when it is determined that there is no entry for data for a data processing operation in the first cache, an entry in the first cache is allocated for the required data, and information that indicates an entry in the second cache for data required for fetching the required data is stored in the tag portion of the allocated entry. Then, once a request has been sent to a memory system for the required data, the information in the tag portion for the allocated entry in the first cache that indicates an entry in the second cache is replaced with information indicative of an address for the data required for fetching the required data.

Classes IPC  ?

  • G06F 12/0895 - Mémoires cache caractérisées par leur organisation ou leur structure de parties de mémoires cache, p.ex. répertoire ou matrice d’étiquettes
  • G06F 12/0842 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement pour multitraitement ou multitâche

62.

BROADCASTING MACHINE LEARNING DATA

      
Numéro d'application 18362405
Statut En instance
Date de dépôt 2023-07-31
Date de la première publication 2024-02-01
Propriétaire ARM Limited (Royaume‑Uni)
Inventeur(s)
  • Croxford, Daren
  • Saeed, Sharjeel
  • Sideris, Isidoros

Abrégé

There is provided a processor configured to transfer data to a plurality of processor circuits. The apparatus includes broadcast circuitry that broadcasts first machine learning data to at least a subset of the plurality of processor circuits.

Classes IPC  ?

  • G06F 9/54 - Communication interprogramme
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 12/0842 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement pour multitraitement ou multitâche

63.

SYSTEM, DEVICES AND/OR PROCESSES FOR IMAGE ANTI-ALIASING

      
Numéro d'application 17816655
Statut En instance
Date de dépôt 2022-08-01
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • O'Neil, Liam James
  • Sowerby, Joshua James
  • Wang, Yanxiang
  • Martin, Samuel James Edward

Abrégé

Example methods, apparatuses, and/or articles of manufacture are disclosed that may be implemented, in whole or in part, techniques to apply an image anti-aliasing operation to an image frame.

Classes IPC  ?

  • G06T 5/00 - Amélioration ou restauration d'image
  • G06T 3/00 - Transformation géométrique de l'image dans le plan de l'image
  • G06T 5/50 - Amélioration ou restauration d'image en utilisant plusieurs images, p.ex. moyenne, soustraction

64.

Buried Metal Techniques

      
Numéro d'application 17874611
Statut En instance
Date de dépôt 2022-07-27
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Mathur, Rahul
  • Bhargava, Mudit

Abrégé

Various implementations described herein are related to a device having bitline drivers coupled to passgates of bitcells via bitlines and buried metal lines formed within a substrate including a buried enable signal line and a buried ground line coupled to ground connections of the bitline drivers. The buried enable signal line transfers a negative bias to a selected bitline of the bitlines via the buried ground line that is coupled to the ground connections of the bitline drivers so as to increase gate-source bias of the passgates of the selected bitcell to thereby enhance write capability of the selected bitcell.

Classes IPC  ?

  • G11C 11/419 - Circuits de lecture-écriture [R-W]
  • G11C 11/412 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs utilisant des transistors formant des cellules avec réaction positive, c. à d. des cellules ne nécessitant pas de rafraîchissement ou de régénération de la charge, p.ex. multivibrateur bistable, déclencheur de Schmitt utilisant uniquement des transistors à effet de champ
  • H01L 27/11 - Structures de mémoires statiques à accès aléatoire

65.

CONTROL OF BULK MEMORY INSTRUCTIONS

      
Numéro d'application 17875758
Statut En instance
Date de dépôt 2022-07-28
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Caulfield, Ian Michael
  • Hornung, Alexander Alfred

Abrégé

An apparatus supports decoding and execution of a bulk memory instruction specifying a block size parameter. The apparatus comprises control circuitry to determine whether the block size corresponding to the block size parameter exceeds a predetermined threshold, and performs a micro-architectural control action to influence the handling of at least one bulk memory operation by memory operation processing circuitry. The micro-architectural control action varies depending on whether the block size exceeds the predetermined threshold, and further depending on the states of other components and operations within or coupled with the apparatus. The micro-architectural control action could include an alignment correction action, cache allocation control action, or processing circuitry selection action.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache

66.

Enhanced Block Floating Point Number Multiplier

      
Numéro d'application 17878291
Statut En instance
Date de dépôt 2022-08-01
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Burgess, Neil
  • Ha, Sangwon
  • Maji, Partha Prasun

Abrégé

A data processing apparatus is configured to determine a product of two operands stored in an Extended Block Floating-Point format. The operands are decoded, based on their tags and payloads, to generate exponent differences and at least the fractional parts of significands. The significands are multiplied to generate an output significand and shared exponents and exponent differences of the operands are combined to generate an output exponent. Signs of the operands may also be combined to provide an output sign. The apparatus may be combined with an accumulator having one or more lanes to provide an apparatus for determining dot products.

Classes IPC  ?

  • G06F 7/487 - Multiplication; Division
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

67.

METHODS AND SYSTEMS EMPLOYING ENHANCED BLOCK FLOATING POINT NUMBERS

      
Numéro d'application 18213469
Statut En instance
Date de dépôt 2023-06-23
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Burgess, Neil
  • Ha, Sangwon
  • Maji, Partha Prasun

Abrégé

In a data processor, an input value having a sign, an exponent and a significand is encoded by determining an exponent difference between a base exponent and the exponent. When the exponent difference is not less than a first threshold, only the exponent difference, or a designated value, is encoded to a payload of the output value and one or more tag bits of the output value are set to a first value. When the exponent difference is less than the first threshold, the significand and exponent difference are encoded to the payload of an output value and, optionally, the one or more tag bits of the output value. A sign bit in the output value is set corresponding to the sign of the input value, and the output value is stored.

Classes IPC  ?

  • G06F 7/499 - Maniement de valeur ou d'exception, p.ex. arrondi ou dépassement

68.

METHOD FOR MINIMISING MOTION SICKNESS FOR HEAD-MOUNTABLE EXTENDED REALITY

      
Numéro d'application 18357472
Statut En instance
Date de dépôt 2023-07-24
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Croxford, Daren
  • Mendez, Roberto Lopez

Abrégé

A method to operate a head-mountable processing system, is provided. The head-mountable processing system comprising generating one or more control signals based upon a visual motion of a sequence of images for display by the head-mountable processing system, and transmitting the generated one or more control signals to a plurality of transducers to stimulate a wearer's vestibular system.

Classes IPC  ?

  • A61H 23/02 - Massage par percussion ou vibration, p.ex. en utilisant une vibration ultrasonique; Massage par succion-vibration; Massage avec des membranes mobiles à entraînement électrique ou magnétique
  • G06F 3/01 - Dispositions d'entrée ou dispositions d'entrée et de sortie combinées pour l'interaction entre l'utilisateur et le calculateur
  • G06T 7/20 - Analyse du mouvement
  • H04R 1/10 - Ecouteurs; Leurs fixations
  • H04R 3/12 - Circuits pour transducteurs pour distribuer des signaux à plusieurs haut-parleurs
  • H04R 1/40 - Dispositions pour obtenir la fréquence désirée ou les caractéristiques directionnelles pour obtenir la caractéristique directionnelle désirée uniquement en combinant plusieurs transducteurs identiques

69.

APPARATUS AND METHOD OF OPTIMISING DIVERGENT PROCESSING IN THREAD GROUPS

      
Numéro d'application 18357503
Statut En instance
Date de dépôt 2023-07-24
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Croxford, Daren
  • Sideris, Isidoros

Abrégé

A data processor is disclosed in which groups of execution threads comprising a thread group can execute a set of instructions in lockstep, and in which a plurality of execution lanes can perform processing operations for the execution threads. In response to an execution thread issuing circuit determining whether a portion of active threads of a first thread group and a portion of active threads of a second thread group use different execution lanes of the plurality of execution lanes, the execution thread issuing circuit issuing both the portion of active threads of a first thread group and a portion of active threads of a second thread group for execution. This can have the effect of increasing data processor efficiency, thereby increasing throughput and reducing latency.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions

70.

GRAPHICS PROCESSORS

      
Numéro d'application 18359002
Statut En instance
Date de dépôt 2023-07-26
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Croxford, Daren
  • Saeed, Sharjeel
  • Sideris, Isidoros

Abrégé

Disclosed herein is a graphics processor that comprises a programmable execution unit operable to execute programs to perform graphics processing operations. The graphics processor further comprises a dedicated machine learning processing circuit operable to perform processing operations for machine learning processing tasks. The machine learning processing circuit is in communication with the programmable execution unit internally to the graphics processor. In this way, the graphics processor can be configured such that machine learning processing tasks can be performed by the programmable execution unit, the machine learning processing circuit, or a combination of both, with the different units being able to message each other accordingly to control the processing.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]

71.

COMPLEX RENDERING USING TILE BUFFERS

      
Numéro d'application 18362439
Statut En instance
Date de dépôt 2023-07-31
Date de la première publication 2024-02-01
Propriétaire ARM Limited (Royaume‑Uni)
Inventeur(s)
  • Croxford, Daren
  • Saeed, Sharjeel
  • Sideris, Isidoros

Abrégé

There is provided an apparatus configured to operate as a shader core, the shader core configured to perform a complex rendering process comprising a rendering process and a machine learning process, the shader core comprising: one or more tile buffers configured to store data locally to the shader core, wherein during the rendering process, the one or more tile buffers are configured to store rendered fragment data relating to a tile; and during the machine learning process, the one or more tile buffers are configured to store an input feature map, kernel weights or an output feature map relating to the machine learning process.

Classes IPC  ?

72.

METHODS AND APPARATUS FOR WORKLOAD SCHEDULING

      
Numéro d'application 17874658
Statut En instance
Date de dépôt 2022-07-27
Date de la première publication 2024-02-01
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Roy, Rishav
  • Jeloka, Supreet
  • Das, Shidhartha
  • Mathur, Rahul

Abrégé

Aspects of the present disclosure relate to an apparatus comprising a plurality of processing elements having a spatial layout, and control circuitry to assign workloads to said plurality of processing elements. The control circuitry is configured to, based on a timing parameter, determine one or more active processing elements to deactivate; determine, based on the spatial layout, one or more inactive processing elements to activate; and deactivate said one or more active processing elements and activate said one or more inactive processing elements.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption

73.

MASKED-VECTOR-COMPARISON INSTRUCTION

      
Numéro d'application 18247595
Statut En instance
Date de dépôt 2021-08-17
Date de la première publication 2024-01-25
Propriétaire ARM LIMITED (Royaume‑Uni)
Inventeur(s)
  • Eapen, Jacob
  • Boettcher, Matthias Lothar
  • Venu, Balaji
  • Botman, François Christopher Jacques

Abrégé

A masked-vector-comparison instruction specifies a source vector operand comprising a plurality of source data elements, a mask value, and a comparison target operand. In response to the masked-vector-comparison instruction, an instruction decoder 10 controls processing circuitry 16 to: for each active source data element of the source vector operand, determine whether the active source data element satisfies a comparison condition, based on a masked comparison between one or more compared bits of the active source data element and one or more compared bits of the comparison target operand, the mask value specifying a pattern of compared bits and non-compared bits within the comparison target operand and the active source data element; and generate a result value indicative of which of the source data elements of the source vector operand, if any, is an active source data element satisfying the comparison condition. This instruction is useful for variable length decoding operations.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

74.

SYSTEM, DEVICES AND/OR PROCESSES FOR TEMPORAL UPSAMPLING IMAGE FRAMES

      
Numéro d'application 18338231
Statut En instance
Date de dépôt 2023-06-20
Date de la première publication 2024-01-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Barragán Del Rey, Carlos
  • Wang, Yanxiang
  • O'Neil, Liam James
  • Wash, Matthew James

Abrégé

Example methods, apparatuses, and/or articles of manufacture are disclosed that may be implemented, in whole or in part, techniques to process image signal values sampled from a multi color channel imaging device. In particular, methods and/or techniques disclosed herein are directed to synthesizing a temporally upsampled image frame to be in a temporal sequence of images frames.

Classes IPC  ?

  • G06T 3/40 - Changement d'échelle d'une image entière ou d'une partie d'image
  • G06T 3/00 - Transformation géométrique de l'image dans le plan de l'image
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06V 10/56 - Extraction de caractéristiques d’images ou de vidéos relative à la couleur
  • G06V 10/60 - Extraction de caractéristiques d’images ou de vidéos relative aux propriétés luminescentes, p.ex. utilisant un modèle de réflectance ou d’éclairage
  • G06V 10/80 - Fusion, c. à d. combinaison des données de diverses sources au niveau du capteur, du prétraitement, de l’extraction des caractéristiques ou de la classification
  • G06V 10/82 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant les réseaux neuronaux

75.

SYSTEM, METHOD AND/OR APPARATUS FOR MAGNETIC MEMORY TESTING

      
Numéro d'application 17814418
Statut En instance
Date de dépôt 2022-07-22
Date de la première publication 2024-01-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Prabhat, Pranay
  • Bhargava, Mudit
  • Redondo, Fernando Garcia

Abrégé

Briefly, embodiments, such as methods and/or systems for operations and/or procedures to test magnetic memory devices. In a particular implementation, a bit error rate of a magnetic memory device may be estimated based, at least in part, on an observed bit error rate in the presence of an externally applied magnetic field.

Classes IPC  ?

  • G11C 29/44 - Indication ou identification d'erreurs, p.ex. pour la réparation

76.

SYSTEM, METHOD AND/DEVICE FOR MANAGING MEMORY DEVICES

      
Numéro d'application 17814438
Statut En instance
Date de dépôt 2022-07-22
Date de la première publication 2024-01-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Gamage, Sahan Sajeewa Hiniduma Udugama
  • Redondo, Fernando Garcia
  • Svedas, Jonas

Abrégé

Briefly, embodiments, such as methods and/or systems for employing memory devices.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 12/02 - Adressage ou affectation; Réadressage

77.

NEURAL PROCESSING UNIT FOR ATTENTION-BASED INFERENCE

      
Numéro d'application 17870038
Statut En instance
Date de dépôt 2022-07-21
Date de la première publication 2024-01-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Datta, Shounak
  • Gope, Dibakar
  • Beu, Jesse Garrett
  • O'Connor, Mark John

Abrégé

There is provided a neural processing unit for calculating an attention matrix during machine learning inference. The neural processing unit is configured to calculate: a first score matrix based on differences between a query matrix and a key matrix; a second score matrix based on differences between the key matrix and a learned key matrix; a similarity matrix based on a combination of the first score matrix and second score matrix; and an attention matrix comprising applying a normalisation function to the similarity matrix. Also provided is an apparatus comprising at least one said neural processing unit and at least one memory, the memory configured to pass, on demand, a learned key matrix to the neural processing unit. Also provided is a computer program product having computer readable program code stored thereon which, when executed by said neural processing unit, causes the unit to perform said calculations.

Classes IPC  ?

  • G06N 3/063 - Réalisation physique, c. à d. mise en œuvre matérielle de réseaux neuronaux, de neurones ou de parties de neurone utilisant des moyens électroniques

78.

Self-Repair Memory Techniques

      
Numéro d'application 17870457
Statut En instance
Date de dépôt 2022-07-21
Date de la première publication 2024-01-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Mccombs, Jr., Edward Martin
  • Dray, Cyrille Nicolas
  • Van Winkelhoff, Nicolaas Klarinus Johannes

Abrégé

Various implementations described herein are directed to a method that tests and repairs memory fabricated on a wafer or a package. The method may generate and store a reuse table based on memory repair results. The method may manufacture the memory after repairing the memory. The method may access and reuse data stored in the reuse table to repair the memory after manufacturing the memory.

Classes IPC  ?

  • G11C 29/44 - Indication ou identification d'erreurs, p.ex. pour la réparation
  • G11C 29/12 - Dispositions intégrées pour les tests, p.ex. auto-test intégré [BIST]

79.

PREFETCH STORE FILTERING

      
Numéro d'application 18147068
Statut En instance
Date de dépôt 2022-12-28
Date de la première publication 2024-01-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Maroncelli, Luca
  • Airaud, Cedric Denis Robert
  • Begon, Florent
  • Eid, Peter Raphael

Abrégé

A data processing apparatus is provided. Prefetch circuitry generates a prefetch request for a cache line prior to the cache line being explicitly requested. The cache line is predicted to be required for a store operation in the future. Issuing circuitry issues the prefetch request to a memory hierarchy and filter circuitry filters the prefetch request based on at least one other prefetch request made to the cache line, to control whether the prefetch request is issued by the issuing circuitry.

Classes IPC  ?

  • G06F 12/0862 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec pré-lecture
  • G06F 12/0875 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache avec mémoire cache dédiée, p.ex. instruction ou pile

80.

SYSTEM, DEVICES AND/OR PROCESSES FOR APPLICATION OF KERNEL COEFFICIENTS

      
Numéro d'application 18339042
Statut En instance
Date de dépôt 2023-06-21
Date de la première publication 2024-01-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • O’neil, Liam James
  • Sowerby, Joshua James
  • Wang, Yanxiang
  • Novikov, Maxim

Abrégé

Example methods, apparatuses, and/or articles of manufacture are disclosed that may be implemented, in whole or in part, techniques to process image signal intensity values sampled from a multi color channel imaging device. In particular, methods and/or techniques disclosed herein are directed to processing image signal intensity values by application of kernel coefficients to the image signal intensity values.

Classes IPC  ?

  • G06V 10/82 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant les réseaux neuronaux
  • G06V 10/77 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant l’intégration et la réduction de données, p.ex. analyse en composantes principales [PCA] ou analyse en composantes indépendantes [ ICA] ou cartes auto-organisatrices [SOM]; Séparation aveugle de source
  • G06V 10/40 - Extraction de caractéristiques d’images ou de vidéos

81.

NEURAL NETWORK MEMORY CONFIGURATION

      
Numéro d'application 17813396
Statut En instance
Date de dépôt 2022-07-19
Date de la première publication 2024-01-25
Propriétaire
  • ECS Partners Limited (Royaume‑Uni)
  • Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Sadiq, Sulaiman
  • Hare, Jonathon
  • Merrett, Geoffrey
  • Maji, Partha Prasun
  • Craske, Simon John

Abrégé

Briefly, embodiments, such as methods and/or systems for employing external memory devices in the execution of activation function such as activation functions implemented in a neural network. In one aspect, a first activation input tensor may be partitioned as a plurality of tensor segments stored in one or more external memory devices. Individual stored tensor segments may be sequentially loaded to memories local to processing circuitry to apply activation functions associated with the stored tensor segments.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

82.

MEMCPY MICRO-OPERATION REDUCTION

      
Numéro d'application 17871332
Statut En instance
Date de dépôt 2022-07-22
Date de la première publication 2024-01-25
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Ishii, Yasuo
  • Maclean, Steven Daniel
  • Plante, Nicholas Andrew
  • Farooq, Muhammad Umar
  • Schinzler, Michael Brian
  • Humphries, Nicholas Todd
  • Harris, Glen Andrew

Abrégé

There is provided a data processing apparatus in which decode circuitry receives a memory copy instruction containing an indication of a source area of memory, an indication of a destination area of memory, and an indication of a remaining copy length. In response to receiving the memory copy instruction, the decode circuitry generates at least one active memory copy operation or a null memory copy operation. The active memory copy operation causes one or more execution units to perform a memory copy from part of the source area of memory to part of the destination area of memory and the null memory copy operation leaves the destination area of memory unmodified.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

83.

ERROR DETECTION IN CONVOLUTIONAL OPERATIONS

      
Numéro d'application 17812834
Statut En instance
Date de dépôt 2022-07-15
Date de la première publication 2024-01-18
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Haddon, Matthew David
  • Fedorov, Igor
  • Jeyapaul, Reiley
  • Whatmough, Paul Nicholas
  • Liu, Zhi-Gang

Abrégé

Methods and systems for detecting errors when performing a convolutional operation is provided. Predicted checksum data, corresponding to input checksum data and kernel checksum data, is obtained. The convolutional operation is performed to obtain an output feature map. Output checksum data is generated and the predicted checksum data and the output checksum data are compared, the comparing taking account of partial predicted checksum data configured to correct for a lack of padding when performing the convolution operation, wherein the partial predicted checksum data corresponds to input checksum data for a subset of the values in the input feature map and kernel checksum data for a subset of the values in the kernel.

Classes IPC  ?

  • G06F 21/64 - Protection de l’intégrité des données, p.ex. par sommes de contrôle, certificats ou signatures
  • G06F 16/23 - Mise à jour
  • G06F 16/22 - Indexation; Structures de données à cet effet; Structures de stockage

84.

EARLY CACHE QUERYING

      
Numéro d'application 17864625
Statut En instance
Date de dépôt 2022-07-14
Date de la première publication 2024-01-18
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Ishii, Yasuo
  • Kim, Jungsoo
  • Dundas, James David
  • Abhishek Raja, .

Abrégé

There is provided a data processing apparatus in which receive circuitry receives a result signal from a lower level cache and a higher level cache in respect of a first instruction block. The lower level cache and the higher level cache are arranged hierarchically and transmit circuitry transmits, to the higher level cache, a query for the result signal. In response to the result signal originating from the higher level cache containing requested data, the transmit circuitry transmits a further query to the higher level cache for a subsequent instruction block at an earlier time than the further query is transmitted to the higher level cache when the result signal containing the requested data originates from the lower level cache.

Classes IPC  ?

  • G06F 12/0897 - Mémoires cache caractérisées par leur organisation ou leur structure avec plusieurs niveaux de hiérarchie de mémoire cache

85.

Systems, Devices, and Methods of Cache Memory

      
Numéro d'application 17866448
Statut En instance
Date de dépôt 2022-07-15
Date de la première publication 2024-01-18
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Prasad, Divya Madapusi Srinivas
  • Nathella, Krishnendra
  • Pietromonaco, David Victor

Abrégé

According to one implementation of the present disclosure, a cache memory includes: a plurality of cache-lines, wherein each row of cache-lines comprises: tag bits of a tag-random access memory (tag-RAM); data bits of a data-random access memory (data-RAM), and a single set of retention bits corresponding to the tag-RAM. According to one implementation of the present disclosure, a method includes: sampling a single set of retention bits of a cache-line of a cache memory, where the cache-line comprises the single set of retention bits, tag-RAM and data-RAM, and where at least the single set of retention bits comprise eDRAM bitcells; and performing a refresh cycle of at least the data-RAM corresponding to the tag-RAM based on the sampled single set of retention bits.

Classes IPC  ?

  • G11C 11/406 - Organisation ou commande des cycles de rafraîchissement ou de régénération de la charge
  • G11C 11/409 - Circuits de lecture-écriture [R-W]

86.

DATA PROCESSING SYSTEMS

      
Numéro d'application 18251564
Statut En instance
Date de dépôt 2021-11-04
Date de la première publication 2024-01-11
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Garbett, David Thomas
  • Pennala, Jussi Tuomas
  • Olsson, Henrik Nils-Sture
  • Murphy, Nicholas John Nelson

Abrégé

A data processing system (1) comprises a plurality of processing units (11) and a controller (30) operable to allocate processing units of the plurality of processing units into respective groups of the processing units, wherein each group of processing units comprises a set of one or more of the processing units of the plurality of processing units. The data processing system further comprises an arbiter (31, 32) for each group of processing units for controlling access by virtual machines (33, 34) that require processing operations to the processing units of the group of processing units that the arbiter has been allocated.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation
  • G06F 11/07 - Réaction à l'apparition d'un défaut, p.ex. tolérance de certains défauts

87.

SECURITY MEASURES FOR SIGNAL PATHS WITH TREE STRUCTURES

      
Numéro d'application 18371045
Statut En instance
Date de dépôt 2023-09-21
Date de la première publication 2024-01-11
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Weiner, Michael
  • Harrison, Robert John
  • Golombek, Oded
  • Levy, Yoav Asher

Abrégé

Security measures for signal paths with tree structures can be implemented at design phase using an EDA software program or tool with security feature functionality that, when executed by a computing system, directs the computing system to: display a canvas through which components of a circuit are arranged; and provide a menu of commands, including an option to add components from a library to the canvas and an option to secure a tree. In response to receiving a selection of the option to secure the tree, the system can be directed to add a hardware countermeasure coupled to at least two lines or terminal nodes of a tree structure identified from components on the canvas or in a netlist corresponding to a circuit's design.

Classes IPC  ?

  • G06F 21/75 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du calcul ou du traitement de l’information par inhibition de l’analyse de circuit ou du fonctionnement, p.ex. pour empêcher l'ingénierie inverse
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 30/396 - Arbres d’horloge

88.

METHODS AND HARDWARE FOR INTER-LAYER DATA FORMAT CONVERSION IN NEURAL NETWORKS

      
Numéro d'application 17860439
Statut En instance
Date de dépôt 2022-07-08
Date de la première publication 2024-01-11
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Maji, Partha Prasun
  • Ha, Sangwon

Abrégé

The present disclosure relates to a method of inter-layer format conversion for a neural network, the neural network comprising at least two computation layers including a first layer to process first data in a first data format and a second layer to process second data in a second data format, the method comprising: extracting data statistics from data output by the first layer, said data statistics being representative of the data output by the first layer; determining one or more conversion parameters based on the extracted data statistics and the second data format; and generating the second data for the second layer by modifying said data output by the first layer using the one or more conversion parameters.

Classes IPC  ?

  • G06N 3/08 - Méthodes d'apprentissage
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion

89.

Circuitry for Memory Address Collision Prevention

      
Numéro d'application 17861084
Statut En instance
Date de dépôt 2022-07-08
Date de la première publication 2024-01-11
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Chen, Andy Wangkun
  • Chong, Yew Keong
  • Thyagarajan, Sriram

Abrégé

According to one implementation of the present disclosure, an integrated circuit includes comparator circuitry coupled to peripheral circuitry of a multiport memory and configured to transmit one or more data input signals or one or more write enable signals to respective memory outputs when a memory address collision is detected for one or more respective bitcells of the multi-port memory. In another implementation, a method comprises: detecting a read operation and a write operation to a same memory bitcell of a multiport memory in one clock cycle and in response to the detection, performing the read operation of a data input signal or a write enable signal of the multiport memory.

Classes IPC  ?

  • G06F 12/02 - Adressage ou affectation; Réadressage

90.

Bit Sparse Neural Network Optimization

      
Numéro d'application 17861824
Statut En instance
Date de dépôt 2022-07-11
Date de la première publication 2024-01-11
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Liu, Zhi-Gang
  • Whatmough, Paul Nicholas
  • Brown, Iii, John Fremont

Abrégé

A method, system and apparatus provide bit-sparse neural network optimization. Rather than quantizing and pruning weight and activation elements at the word level, weight and activation elements are pruned at the bit level, which reduces the density of effective “set” bits in weight and activation data, which, advantageously, reduces the power consumption of the neural network inference process by reducing the degree of bit-level switching during inference.

Classes IPC  ?

91.

COHERENCY CONTROL

      
Numéro d'application 18331324
Statut En instance
Date de dépôt 2023-06-08
Date de la première publication 2024-01-04
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Tune, Andrew David

Abrégé

An apparatus comprises an non-inclusive cache (14) configured to cache data and coherency control circuitry (16). The coherency control circuitry is configured to look up the non-inclusive cache in response to a coherent access request from a first requestor (4). In response to determining that the coherent access request can be serviced using data stored in a matching entry of the non-inclusive cache, the coherency control circuitry references snoop-filter information associated with the matching entry to determine whether the first requestor can use the data stored in the matching entry without waiting for a response to a snoop of a coherent cache (8).

Classes IPC  ?

  • G06F 12/0831 - Protocoles de cohérence de mémoire cache à l’aide d’un schéma de bus, p.ex. avec moyen de contrôle ou de surveillance
  • G06F 12/0871 - Affectation ou gestion d’espace de mémoire cache

92.

Method of and apparatus for defining bounding boxes

      
Numéro d'application 17856274
Numéro de brevet 11961160
Statut Délivré - en vigueur
Date de dépôt 2022-07-01
Date de la première publication 2024-01-04
Date d'octroi 2024-04-16
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s) Stepuch, Rafał

Abrégé

There is provided a computer-implemented method of defining bounding boxes for a primitive in a tile-based graphics processing pipeline comprising determining a part-way point on the primitive, wherein, for each pair of vertices, a part-way point is part-way between that pair of vertices, and defining a plurality of bounding boxes, wherein each bounding box intersects a part-way point. Also provided is a bounding box generation circuit comprising a part-way point calculation circuit to determine a part-way point on the primitive, wherein, for each pair of vertices, a part-way point is part-way between that pair of vertices, wherein the bounding box generation circuit to define a plurality of bounding boxes based upon the determined part-way point, wherein each bounding box intersects a part-way point. A method of defining bounding boxes for a point primitive is also provided.

Classes IPC  ?

  • G06T 1/60 - Gestion de mémoire
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 11/20 - Traçage à partir d'éléments de base, p.ex. de lignes ou de cercles

93.

DATA PROCESSING SYSTEMS

      
Numéro d'application 18251602
Statut En instance
Date de dépôt 2021-11-04
Date de la première publication 2024-01-04
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Kerry, Daniel James
  • Garbett, David Thomas
  • Pennala, Jussi Tuomas
  • Murphy, Nicholas John Nelson

Abrégé

A data processing system (1) comprises a plurality of, e.g. graphics, processing units (11), and a management circuit (12) associated with the processing units and operable to configure the processing units of the plurality of processing units into respective groups of the processing units. The management circuit (12) is configured to always operate with a high level of fault protection, but the groups of the processing units can be selectively operated with either a higher level of fault protection or a lower level of fault protection, by selectively subjecting them to fault detection testing (60).

Classes IPC  ?

  • G06F 11/22 - Détection ou localisation du matériel d'ordinateur défectueux en effectuant des tests pendant les opérations d'attente ou pendant les temps morts, p.ex. essais de mise en route
  • G06F 11/27 - Tests intégrés
  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]

94.

Column Multiplexer Circuitry

      
Numéro d'application 18369794
Statut En instance
Date de dépôt 2023-09-18
Date de la première publication 2024-01-04
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Gupta, Lalit
  • Bohra, Fakhruddin Ali
  • Dwivedi, Shri Sagar
  • Babbar, Vidit

Abrégé

Various implementations described herein are related to a device having memory architecture having multiple bitcell arrays. The device may include column multiplexer circuitry coupled to the memory architecture via multiple bitlines for read access operations. The column multiplexer circuitry may perform read access operations in the multiple bitcell arrays via the bitlines based on a sense amplifier enable signal and a read multiplexer signal. The device may include control circuitry that provides the read multiplexer signal to the column multiplexer circuitry based on a clock signal and the sense amplifier enable signal so that the column multiplexer circuitry is able to perform the read access operations.

Classes IPC  ?

95.

TININESS DETECTION

      
Numéro d'application 17855856
Statut En instance
Date de dépôt 2022-07-01
Date de la première publication 2024-01-04
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Kennedy, Michael Alexander
  • Montagna, Marco
  • Walters, Karel Hubertus Gerardus
  • Caulfield, Ian Michael

Abrégé

Processing circuitry performs a processing operation to generate a two's complement result value representing a positive or negative number in two's complement representation. Normalization-and-rounding circuitry converts the two's complement result value to a normalized-and-rounded floating-point result value represented using sign-magnitude representation. The normalization-and-rounding circuitry comprises incrementing circuitry to perform an increment addition (e.g. a rounding increment or a conversion increment) to generate a fraction of the normalized-and-rounded floating-point result value. For an operation where the increment addition is required to be performed, tininess detection circuitry detects the after-rounding tininess status based on a still-to-be-incremented version of the normalized-and-rounded floating-point result value prior to the increment addition by the increment circuitry.

Classes IPC  ?

  • G06F 7/483 - Calculs avec des nombres représentés par une combinaison non linéaire de nombres codés, p.ex. nombres rationnels, système de numération logarithmique ou nombres à virgule flottante

96.

Power-Up Header Circuitry for Multi-Bank Memory

      
Numéro d'application 17856928
Statut En instance
Date de dépôt 2022-07-01
Date de la première publication 2024-01-04
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Mathur, Rahul
  • Mccombs, Jr., Edward Martin
  • Chen, Hsin-Yu

Abrégé

Various implementations described herein are directed to a device having memory with banks of bitcells with each bank having a bitcell array. The device may have header circuitry that powers-up a selected bank and powers-down unselected banks during a wake-up mode of operation. In some instances, only the selected bank of the memory is powered-up with the header circuitry during the wake-up mode of operation.

Classes IPC  ?

  • G11C 11/418 - Circuits d'adressage
  • G11C 11/412 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs utilisant des transistors formant des cellules avec réaction positive, c. à d. des cellules ne nécessitant pas de rafraîchissement ou de régénération de la charge, p.ex. multivibrateur bistable, déclencheur de Schmitt utilisant uniquement des transistors à effet de champ

97.

METHODS AND APPARATUS FOR TRANSFERRING DATA WITHIN HIERARCHICAL CACHE CIRCUITRY

      
Numéro d'application 18253621
Statut En instance
Date de dépôt 2021-11-18
Date de la première publication 2023-12-28
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Pusdesris, Joseph Michael
  • Bruce, Klas Magnus
  • Jalal, Jamshed
  • Kaseridis, Dimitrios
  • Ramagiri, Gurunath
  • Kim, Ho-Seop
  • Turner, Andrew John
  • Mameesh, Rania Hussein Hassan

Abrégé

Aspects of the present disclosure relate to an apparatus comprising processing circuitry, first cache circuitry and second cache circuitry, wherein the second cache circuitry has an access latency higher than an access latency of the first cache circuitry. The second cache circuitry is responsive to receiving a request for data stored within the second cache circuitry to identify said data as pseudo-invalid data and provide said data to the first cache circuitry. The second cache circuitry is responsive to receiving an eviction indication, indicating that the first cache circuitry is to evict said data, to, responsive to determining that said data has not been modified since said data was provided to the first cache circuitry, identify said pseudo-invalid data as valid data.

Classes IPC  ?

  • G06F 12/126 - Commande de remplacement utilisant des algorithmes de remplacement avec maniement spécial des données, p.ex. priorité des données ou des instructions, erreurs de maniement ou repérage
  • G06F 12/0811 - Systèmes de mémoire cache multi-utilisateurs, multiprocesseurs ou multitraitement avec hiérarchies de mémoires cache multi-niveaux

98.

METHODS AND APPARATUS FOR MANAGING TRUSTED DEVICES

      
Numéro d'application 17846214
Statut En instance
Date de dépôt 2022-06-22
Date de la première publication 2023-12-28
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Petri, Gustavo Federico
  • Mulligan, Dominic Phillip
  • Miller, Derek Del
  • Vincent, Hugo John Martin

Abrégé

Aspects of the present disclosure relate to an apparatus comprising TEE circuitry configured to maintain a list of trusted devices, and interface circuitry to provide communication between the TEE of the apparatus and TEE circuitry of a device communicatively coupled to the apparatus. The TEE circuitry of the apparatus is configured to perform, with the TEE circuitry of the device, a remote attestation in respect of the TEE circuitry of the device. Responsive to a positive outcome of the remote attestation, the device is added to the list of trusted devices. The TEE of the apparatus receives, from the TEE circuitry of the device, an indication of one or more further devices which are trusted by the device, and adds said one or more further devices to the list of trusted devices.

Classes IPC  ?

  • G06F 21/53 - Contrôle des usagers, programmes ou dispositifs de préservation de l’intégrité des plates-formes, p.ex. des processeurs, des micrologiciels ou des systèmes d’exploitation au stade de l’exécution du programme, p.ex. intégrité de la pile, débordement de tampon ou prévention d'effacement involontaire de données par exécution dans un environnement restreint, p.ex. "boîte à sable" ou machine virtuelle sécurisée
  • G06F 21/60 - Protection de données
  • H04L 9/32 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité comprenant des moyens pour vérifier l'identité ou l'autorisation d'un utilisateur du système

99.

PREDICTION OF NUMBER OF ITERATIONS OF A FETCHING PROCESS

      
Numéro d'application 17847378
Statut En instance
Date de dépôt 2022-06-23
Date de la première publication 2023-12-28
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Bouzguarrou, Houdhaifa
  • Lanois, Thibaut Elie
  • Bolbenes, Guillaume

Abrégé

Prediction circuitry predicts a number of iterations of a fetching process to be performed to control fetching of data/instructions for processing operations that are predicted to be performed by processing circuitry. The processing circuitry can tolerate performing unnecessary iterations of the fetching process following an over-prediction of the number of iterations. In response to the processing circuitry resolving an actual number of iterations, the prediction circuitry adjusts the prediction state information used to predict the number of iterations, based on whether a first predicted number of iterations, predicted based on a first iteration prediction parameter, provides a good prediction (when the first predicted number of iterations is in a range i_cnt to i_cnt+N, where i_cnt is the actual number of iterations and N≥1), or a misprediction (when the first predicted number of iterations is outside the range i_cnt to i_cnt+N).

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions

100.

CACHE REPLACEMENT CONTROL

      
Numéro d'application 17850072
Statut En instance
Date de dépôt 2022-06-27
Date de la première publication 2023-12-28
Propriétaire Arm Limited (Royaume‑Uni)
Inventeur(s)
  • Tune, Andrew David
  • Swaine, Andrew Brookfield

Abrégé

An apparatus comprises a cache comprising a plurality of cache entries, and cache replacement control circuitry to select, in response to a cache request specifying a target address missing in the cache, a victim cache entry to be replaced with a new cache entry. The cache request specifies a partition identifier indicative of an execution environment associated with the cache request. The victim cache entry is selected based on re-reference interval prediction (RRIP) values for a candidate set of cache entries. The RRIP value for a given cache entry is indicative of a relative priority with which the given cache entry is to be selected as the victim cache entry. Configurable replacement policy configuration data is selected based on the partition identifier, and the RRIP value of the new cache entry is set to an initial value selected based on the selected configurable replacement policy configuration data. An apparatus comprises a cache comprising a plurality of cache entries, and cache replacement control circuitry to select, in response to a cache request specifying a target address missing in the cache, a victim cache entry to be replaced with a new cache entry. The cache request specifies a partition identifier indicative of an execution environment associated with the cache request. The victim cache entry is selected based on re-reference interval prediction (RRIP) values for a candidate set of cache entries. The RRIP value for a given cache entry is indicative of a relative priority with which the given cache entry is to be selected as the victim cache entry. Configurable replacement policy configuration data is selected based on the partition identifier, and the RRIP value of the new cache entry is set to an initial value selected based on the selected configurable replacement policy configuration data. [FIG. 1]

Classes IPC  ?

  • G06F 12/121 - Commande de remplacement utilisant des algorithmes de remplacement
  • G06F 12/0891 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache utilisant des moyens d’effacement, d’invalidation ou de réinitialisation
  • G06F 12/06 - Adressage d'un bloc physique de transfert, p.ex. par adresse de base, adressage de modules, extension de l'espace d'adresse, spécialisation de mémoire
  1     2     3     ...     34        Prochaine page