Intel Corporation

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 48 259 pour Intel Corporation et 23 filiales Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 47 840
        Marque 419
Juridiction
        États-Unis 29 550
        International 18 457
        Canada 145
        Europe 107
Propriétaire / Filiale
[Owner] Intel Corporation 45 593
Intel IP Corporation 2 198
McAfee, Inc. 273
Intel Mobile Communications GmbH 51
Soft Machines, Inc. 44
Voir plus
Date
Nouveautés (dernières 4 semaines) 426
2024 avril (MACJ) 291
2024 mars 227
2024 février 191
2024 janvier 320
Voir plus
Classe IPC
G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions 2 555
H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide 1 872
H04L 29/06 - Commande de la communication; Traitement de la communication caractérisés par un protocole 1 775
G06F 9/38 - Exécution simultanée d'instructions 1 580
H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission 1 529
Voir plus
Classe NICE
09 - Appareils et instruments scientifiques et électriques 363
42 - Services scientifiques, technologiques et industriels, recherche et conception 125
41 - Éducation, divertissements, activités sportives et culturelles 40
38 - Services de télécommunications 36
35 - Publicité; Affaires commerciales 27
Voir plus
Statut
En Instance 6 789
Enregistré / En vigueur 41 470
  1     2     3     ...     100        Prochaine page

1.

INTEGRATED CIRCUIT CONTACT STRUCTURES

      
Numéro d'application 18396174
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Morrow, Patrick
  • Glass, Glenn A.
  • Murthy, Anand S.
  • Mehandru, Rishabh

Abrégé

Disclosed herein are integrated circuit (IC) contact structures, and related devices and methods. For example, in some embodiments, an IC contact structure may include an electrical element, a metal on the electrical element, and a semiconductor material on the metal. The metal may conductively couple the semiconductor material and the electrical element.

Classes IPC  ?

  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

2.

DYNAMIC SELECTION OF TOLLING PROTECTION MECHANISMS AND MULTI-CHANNEL MANAGEMENT

      
Numéro d'application 18547218
Statut En instance
Date de dépôt 2021-06-24
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Mueck, Markus Dominik

Abrégé

Techniques are disclosed for dynamically selecting out of band emission protection mechanisms to protect the usage of other frequency bands, as well as techniques for managing the scheduling and transmission of safety related messages having different communication latency requirements.

Classes IPC  ?

  • H04W 52/34 - Gestion du TPC, c. à d. partage de la quantité limitée de puissance entre les utilisateurs ou les canaux ou encore les types de données, p.ex. charge des cellules

3.

APPARATUS, SYSTEM AND METHOD OF CONFIGURING AN UPLINK TRANSMISSION IN A TRIGGER-BASED MULTI-USER UPLINK TRANSMISSION

      
Numéro d'application 18399480
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Min, Alexander W.
  • Klein, Arik
  • Vannithamby, Rath
  • Avital, Ziv

Abrégé

For example, a wireless communication device may be configured to determine an expected interference-based value corresponding to an Uplink (UL) transmission from a wireless communication station (STA) in a Trigger-Based (TB) Multi-User (MU) UL transmission to be communicated from a plurality of STAs to the wireless communication device; to determine one or more transmit (Tx) configuration parameters for the STA based on the expected interference-based value corresponding to the UL transmission from the STA; and to transmit a trigger frame to trigger the TB MU UL transmission, the trigger frame including the one or more Tx configuration parameters to configure the UL transmission from the STA.

Classes IPC  ?

  • H04W 72/54 - Critères d’affectation ou de planification des ressources sans fil sur la base de critères de qualité
  • H04W 72/1268 - Jumelage du trafic à la planification, p.ex. affectation planifiée ou multiplexage de flux de flux de données en liaison ascendante

4.

SYSTEMS AND METHODS FOR EXECUTING A FUSED MULTIPLY-ADD INSTRUCTION FOR COMPLEX NUMBERS

      
Numéro d'application 18399473
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Dubtsov, Roman S.
  • Valentine, Robert
  • Corbal, Jesus
  • Girkar, Milind
  • Ould-Ahmed-Vall, Elmoustapha

Abrégé

Disclosed embodiments relate to executing a vector-complex fused multiply-add instruction. In one example, a method includes fetching an instruction, a format of the instruction including an opcode, a first source operand identifier, a second source operand identifier, and a destination operand identifier, wherein each of the identifiers identifies a location storing a packed data comprising at least one complex number, decoding the instruction, retrieving data associated with the first and second source operand identifiers, and executing the decoded instruction to, for each packed data element position of the identified first and second source operands, cross-multiply the real and imaginary components to generate four products: a product of real components, a product of imaginary components, and two mixed products, generate a complex result by using the four products according to the instruction, and store a result to the corresponding position of the identified destination operand.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

5.

APPARATUS, SYSTEM, AND METHOD OF QUALITY OF SERVICE (QOS) NETWORK SLICING OVER WIRELESS LOCAL AREA NETWORK (WLAN)

      
Numéro d'application 18399260
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Doostnejad, Roya
  • Reshef, Ehud
  • Cariou, Laurent

Abrégé

For example, an Access Point (AP) may be configured to process network slicing information including slice identification information and Service Level Agreement (SLA) information, wherein the slice identification information is to identify one or more Quality of Service (QoS) network slices. For example, the AP may be configured to determine a configuration of one or more radio resource allocations to be assigned to the one or more QoS network slices, and to transmit a network slicing advertisement including network slicing assignment information to indicate an assignment of the one or more radio resource allocations to the one or more QoS network slices.

Classes IPC  ?

  • H04W 28/24 - Négociation de l'agrément du niveau de service [SLA Service Level Agreement]; Négociation de la qualité de service [QoS Quality of Service]
  • H04W 28/02 - Gestion du trafic, p.ex. régulation de flux ou d'encombrement
  • H04W 48/18 - Sélection d'un réseau ou d'un service de télécommunications

6.

TECHNIQUES FOR CANCELATION OF ONE OR MORE UPLINK TRANSMISSIONS FROM A USER EQUIPMENT

      
Numéro d'application 18465005
Statut En instance
Date de dépôt 2023-09-11
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Islam, Toufiqul
  • Chatterjee, Debdeep

Abrégé

Various embodiments herein provide techniques for cancelation of one or more uplink (UL) transmissions from a user equipment (UE). The UE may receive an indication of a parameter d to use for determining a start of a reference UL resource (RUR). The parameter d may be UE-specific. The UE may further receive a physical downlink control channel (PDCCH) that includes a downlink control information (DCI) to indicate that a UL transmission is to be canceled in a RUR. The UE may determine a starting symbol of the RUR based on the parameter d. In embodiments, the UE may scale the parameter d based on a first subcarrier spacing (SCS) associated with the parameter d and a second SCS associated with the uplink transmission to obtain a scaled parameter d′ that is used to determine the starting symbol of the RUR. Other embodiments may be described and claimed.

Classes IPC  ?

  • H04W 72/23 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens descendant de la liaison sans fil, c. à d. en direction du terminal
  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission
  • H04W 72/1268 - Jumelage du trafic à la planification, p.ex. affectation planifiée ou multiplexage de flux de flux de données en liaison ascendante

7.

MAGNET-DRIVEN CHEMICAL-MECHANICAL POLISHING

      
Numéro d'application 17966021
Statut En instance
Date de dépôt 2022-10-14
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kornbluth, Yosef
  • Bryks, Whitney
  • Eluri, Ravindranadh Tagore

Abrégé

This disclosure describes systems, methods, and devices related to enhanced plate polishing. A device may place a liquid between a plate and a wafer. The device may utilize a controller to vary a current flowing through an array of coils. The device may apply pressure on the plate to press against the liquid and the wafer.

Classes IPC  ?

  • B24B 1/00 - Procédés de meulage ou de polissage; Utilisation d'équipements auxiliaires en relation avec ces procédés
  • B24B 37/04 - Machines ou dispositifs de rodage; Accessoires conçus pour travailler les surfaces planes
  • B24B 57/02 - Dispositifs pour l'alimentation, l'application, le triage ou la récupération de produits de meulage, polissage ou rodage pour l'alimentation en produits de meulage, polissage ou rodage à l'état fluide, vaporisés, pulvérisés ou liquéfiés

8.

CRYPTOGRAPHIC SEPARATION OF MMIO ON DEVICE

      
Numéro d'application 18462605
Statut En instance
Date de dépôt 2023-09-07
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kida, Luis S.
  • Lal, Reshma
  • Desai, Soham Jayesh

Abrégé

Technologies for cryptographic separation of MMIO operations with an accelerator device include a computing device having a processor and an accelerator. The processor establishes a trusted execution environment. The accelerator determines, based on a target memory address, a first memory address range associated with the memory-mapped I/O transaction, generates a second authentication tag using a first cryptographic key from a set of cryptographic keys, wherein the first key is uniquely associated with the first memory address range. An accelerator validator determines whether the first authentication tag matches the second authentication tag, and a memory mapper commits the memory-mapped I/O transaction in response to a determination that the first authentication tag matches the second authentication tag. Other embodiments are described and claimed.

Classes IPC  ?

  • G06F 12/06 - Adressage d'un bloc physique de transfert, p.ex. par adresse de base, adressage de modules, extension de l'espace d'adresse, spécialisation de mémoire
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 12/0895 - Mémoires cache caractérisées par leur organisation ou leur structure de parties de mémoires cache, p.ex. répertoire ou matrice d’étiquettes
  • G06F 12/14 - Protection contre l'utilisation non autorisée de mémoire
  • G06F 21/76 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du calcul ou du traitement de l’information dans les circuits intégrés à application spécifique [ASIC] ou les dispositifs programmables, p.ex. les réseaux de portes programmables [FPGA] ou les circuits logiques programmables [PLD]

9.

METHOD TO IMPLEMENT WAFER-LEVEL CHIP-SCALE PACKAGES WITH GROUNDED CONFORMAL SHIELD

      
Numéro d'application 18397898
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Signorini, Gianni
  • Seidemann, Georg
  • Waidhas, Bernd

Abrégé

Embodiments disclosed herein include electronic packages with conformal shields and methods of forming such packages. In an embodiment, the electronic package comprises a die having a first surface, a second surface opposite the first surface, and sidewall surfaces. A redistribution layer is over the first surface of the die, and the redistribution layer comprises a first conductive layer. In an embodiment, an under ball metallization (UBM) layer is over the redistribution layer, and a conductive shield is over the sidewall surfaces of the die and the second surface of the die. In an embodiment, the conductive shield is electrically coupled to the UBM layer.

Classes IPC  ?

  • H01L 23/552 - Protection contre les radiations, p.ex. la lumière
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 21/78 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/498 - Connexions électriques sur des substrats isolants

10.

MULTI-CHIP PACKAGING

      
Numéro d'application 18397891
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Sankman, Robert L.
  • Agraharam, Sairam
  • Ou, Shengquan
  • De Bonis, Thomas J.
  • Spencer, Todd
  • Sun, Yang
  • Wang, Guotao

Abrégé

An electronic device may include a first die that may include a first set of die contacts. The electronic device may include a second die that may include a second set of die contacts. The electronic device may include a bridge interconnect that may include a first set of bridge contacts and may include a second set of bridge contacts. The first set of bridge contacts may be directly coupled to the first set of die contacts (e.g., with an interconnecting material, such as solder). The second set of bridge contacts may be directly coupled to the second set of die contacts (e.g., with solder). The bridge interconnect may help facilitate electrical communication between the first die and the second die.

Classes IPC  ?

  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,

11.

HARDWARE ACCELERATION OF DATA REDUCTION OPERATIONS

      
Numéro d'application 18397651
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kumar, Smita
  • Fleming, Patrick

Abrégé

A hardware accelerator device is provided with circuitry to perform one or more reversible data transforms on data based on a request and compress the transformed data to generate compressed transformed data. The hardware accelerator device generates an output including the compressed transformed data and transform metadata indicating the set of reversible data transforms applied to the compressed transformed data.

Classes IPC  ?

  • H03M 7/30 - Compression; Expansion; Elimination de données inutiles, p.ex. réduction de redondance
  • H03M 7/32 - Conversion en, ou à partir d'une modulation delta, c. à d. une modulation différentielle à un bit

12.

QUALITY STATUS LOOPBACK FOR ONLINE COLLABORATION SESSIONS

      
Numéro d'application 18397668
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Pious, Aiswarya M.
  • Tao, Tao
  • Baran, Stanley Jacob
  • Rosenzweig, Michael Daniel
  • Kuo, Chia-Hung Sophia
  • R, Rahul
  • S, Nagalakshmi
  • Bhat, Praveen Kashyap Ananta
  • Singh, Balvinder Pal
  • P, Navya
  • Tanner, Jason
  • Karunaratne, Passant V.
  • Udhayan, Venkateshan
  • Potluri, Srikanth

Abrégé

An example apparatus disclosed herein is to receive network data communicated via a first channel associated with the online collaboration session, the network data including received media data packets. The disclosed example apparatus is also to analyze the network data to determine first loopback data, the first loopback data including at least one of a first quality score based on a first analysis of the received media data packets or a second quality score based on a second analysis of media decoded from the received media data packets. The disclosed example apparatus is also to analyze local data obtained by a local client during the online collaboration session to determine second loopback data. The disclosed example apparatus is further to cause transmission of a loopback message to a moderator client via the second channel, the loopback message based on the first loopback data and the second loopback data.

Classes IPC  ?

  • H04L 12/18 - Dispositions pour la fourniture de services particuliers aux abonnés pour la diffusion ou les conférences
  • G06F 3/04817 - Techniques d’interaction fondées sur les interfaces utilisateur graphiques [GUI] fondées sur des propriétés spécifiques de l’objet d’interaction affiché ou sur un environnement basé sur les métaphores, p.ex. interaction avec des éléments du bureau telles les fenêtres ou les icônes, ou avec l’aide d’un curseur changeant de comport utilisant des icônes
  • H04L 51/04 - Messagerie en temps réel ou quasi en temps réel, p.ex. messagerie instantanée [IM]
  • H04L 65/1069 - Gestion de session Établissement ou terminaison d'une session
  • H04L 65/80 - Dispositions, protocoles ou services dans les réseaux de communication de paquets de données pour prendre en charge les applications en temps réel en répondant à la qualité des services [QoS]

13.

EXPOSED NODE ISSUE CONFIGURATIONS IN WIRELESS SYSTEMS

      
Numéro d'application 18398756
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Cariou, Laurent

Abrégé

An apparatus of an access point (AP) includes memory and processing circuitry configured to encode a trigger frame for transmission to a plurality of station devices (STAs) in a wireless network. A first request frame received from a STA of the plurality of STAs is decoded. The first request frame requests the AP to create a protected period for the STA when the STA is in an exposed node situation. A second request frame is encoded for transition to at least a second AP. The second request frame requests the at least second AP to establish a restricted target wake time (rTWT) for the STA. A first response frame from the at least second AP is decoded. The first response frame includes an indication of whether the rTWT is established. A second response frame is encoded for transmission to the STA. The second response frame includes the indication.

Classes IPC  ?

  • H04W 74/0816 - avec évitement de collision
  • H04W 74/08 - Accès non planifié, p.ex. accès aléatoire, ALOHA ou accès multiple par détection de porteuse [CSMA Carrier Sense Multiple Access]

14.

SYSTEMS AND METHODS FOR PERFORMING 16-BIT FLOATING-POINT MATRIX DOT PRODUCT INSTRUCTIONS

      
Numéro d'application 18397664
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Heinecke, Alexander F.
  • Valentine, Robert
  • Charney, Mark J.
  • Sade, Raanan
  • Adelman, Menachem
  • Sperber, Zeev
  • Gradstein, Amit
  • Rubanovich, Simon

Abrégé

Disclosed embodiments relate to computing dot products of nibbles in tile operands. In one example, a processor includes decode circuitry to decode a tile dot product instruction having fields for an opcode, a destination identifier to identify a M by N destination matrix, a first source identifier to identify a M by K first source matrix, and a second source identifier to identify a K by N second source matrix, each of the matrices containing doubleword elements, and execution circuitry to execute the decoded instruction to perform a flow K times for each element (m, n) of the specified destination matrix to generate eight products by multiplying each nibble of a doubleword element (M,K) of the specified first source matrix by a corresponding nibble of a doubleword element (K,N) of the specified second source matrix, and to accumulate and saturate the eight products with previous contents of the doubleword element.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions

15.

PREDICTIVE WORKLOAD ORCHESTRATION FOR DISTRIBUTED COMPUTING ENVIRONMENTS

      
Numéro d'application 18538364
Statut En instance
Date de dépôt 2023-12-13
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Nadathur, Sundar
  • Thyagaturu, Akhilesh
  • Kyle, Jonathan L.
  • Baker, Scott M.
  • Kim, Woojoong

Abrégé

Embodiments for orchestrating execution of workloads on a distributed computing infrastructure are disclosed herein. In one example, environment data is received for compute devices in a distributed computing infrastructure. The environment data is indicative of an operating environment of the respective compute devices and a physical environment of the respective locations of the compute devices. Future operating conditions of the compute devices are predicted based on the environment data, and workloads are orchestrated for execution on the distributed computing infrastructure based on the predicted future operating conditions.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 11/34 - Enregistrement ou évaluation statistique de l'activité du calculateur, p.ex. des interruptions ou des opérations d'entrée–sortie

16.

MULTIRADIO INTERFACE DATA MODEL AND RADIO APPLICATION PACKAGE CONTAINER FORMAT FOR RECONFIGURABLE RADIO SYSTEMS

      
Numéro d'application 18547067
Statut En instance
Date de dépôt 2022-03-25
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Mueck, Markus Dominik

Abrégé

The present disclosure is generally related to reconfigurable radio equipment (RREs), and in particular to information models and protocols for the multiradio interface for RREs and radio application packages (RAPs) used for reconfiguring RREs. Various extensions to the information models of the multiradio interface for RREs are provided such that internal state information is included in the information models and protocols of the multiradio interface. Various aspects of RAP container formats and structure are also provided.

Classes IPC  ?

  • H04L 41/0895 - Configuration de réseaux ou d’éléments virtualisés, p.ex. fonction réseau virtualisée ou des éléments du protocole OpenFlow
  • G06F 8/71 - Gestion de versions ; Gestion de configuration
  • H04L 41/0806 - Réglages de configuration pour la configuration initiale ou l’approvisionnement, p.ex. prêt à l’emploi [plug-and-play]
  • H04L 67/60 - Ordonnancement ou organisation du service des demandes d'application, p.ex. demandes de transmission de données d'application en utilisant l'analyse et l'optimisation des ressources réseau requises

17.

ROBOT MOVEMENT APPARATUS AND RELATED METHODS

      
Numéro d'application 18492458
Statut En instance
Date de dépôt 2023-10-23
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Liu, Zhongxuan
  • Weng, Zhe

Abrégé

Apparatus, systems, articles of manufacture, and methods for robot movement are disclosed. An example robot movement apparatus includes a sequence generator to generate a sequence of context variable vectors and policy variable vectors. The context variable vectors are related to a movement target, and the policy variable vectors are related to a movement trajectory. The example apparatus includes a calculator to calculate an upper policy and a loss function based on the sequence. The upper policy is indicative of a robot movement, and the loss function is indicative of a degree to which a movement target is met. The example apparatus also includes a comparator to determine if the loss function satisfies a threshold and an actuator to cause the robot to perform the robot movement of the upper policy when the loss function satisfies the threshold.

Classes IPC  ?

18.

AUTOMATED DETECTION OF CASE-SPLITTING OPPORTUNITIES IN RTL

      
Numéro d'application 18395066
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Coward, Samuel
  • Drane, Theo
  • Constantinides, George A.

Abrégé

Described herein is a technique for automated detection of case-splitting opportunities in RTL. The techniques described herein facilitate the integration of case-splitting into a hardware design tool flow, allowing the generation of hardware designs that do not suffer from timing violations. One embodiment provides a method comprising analyzing a first hardware description in a hardware description language to identify a critical path in a circuit represented by the hardware description, automatically detecting a case-splitting opportunity within the critical path, generating hardware description language for a case split having determined operator domain restrictions, and outputting a second hardware description including the hardware description language for the case split, wherein the second hardware description has a reduced operator hardware cost for the critical path relative to the first hardware description.

Classes IPC  ?

  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]

19.

PHYSICAL UPLINK SHARED CHANNEL BASED SMALL DATA TRANSMISSION

      
Numéro d'application 18397817
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Xiong, Gang
  • Sosnin, Sergey

Abrégé

The present disclosure provides techniques for physical uplink shared channel (PUSCH) only based small data transmission, including: configuration of pre-allocated UL resource (PUR) set; association of synchronization signal block (SSB) and PUSCH transmission; scrambling sequence generation of the PUSCH transmission; and a procedure for PUSCH only transmission carrying small data. Other embodiments may be described and claimed.

Classes IPC  ?

  • H04W 72/53 - Critères d’affectation ou de planification des ressources sans fil sur la base de politiques d’affectation réglementaires
  • H04L 1/00 - Dispositions pour détecter ou empêcher les erreurs dans l'information reçue
  • H04L 1/1812 - Protocoles hybrides; Demande de retransmission automatique hybride [HARQ]
  • H04L 1/1867 - Dispositions spécialement adaptées au point d’émission
  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission
  • H04L 5/10 - Canaux caractérisés par le type de signal les signaux étant représentés par différentes fréquences avec filtres mécaniques ou démodulateurs
  • H04L 5/14 - Fonctionnement à double voie utilisant le même type de signal, c. à d. duplex
  • H04W 56/00 - Dispositions de synchronisation
  • H04W 72/0453 - Ressources du domaine fréquentiel, p.ex. porteuses dans des AMDF [FDMA]
  • H04W 72/21 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens ascendant de la liaison sans fil, c. à d. en direction du réseau
  • H04W 76/27 - Transitions entre états de commande de ressources radio [RRC]

20.

SYSTEMS, APPARATUS, AND METHODS TO IMPROVE WEBSERVERS USING DYNAMIC LOAD BALANCERS

      
Numéro d'application 18393236
Statut En instance
Date de dépôt 2023-12-21
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Misra, Amruta
  • Mcdonnell, Niall
  • Ganguli, Mrittika
  • Verplanke, Edwin
  • Palermo, Stephen
  • Shah, Rahul
  • Kumar, Pushpendra
  • Khirwadkar, Vrinda
  • Parker, Valerie

Abrégé

Methods, apparatus, systems, and articles of manufacture are disclosed to improve webservers using dynamic load balancers. An example method includes identifying a first and second data object type associated with media and with first and second data objects of the media. The example method also includes enqueuing first and second event data associated with the first and second data object in a first and second queue in first circuitry in a die of programmable circuitry. The example method further includes dequeuing the first and second event data into a third and fourth queue associated with a first and second core of the programmable circuitry, the first circuitry separate from the first core and the second core. The example method additionally includes causing the first and second core to execute a first and second computing operation based on the first and second event data in the third and fourth queues.

Classes IPC  ?

  • H04L 65/612 - Diffusion en flux de paquets multimédias pour la prise en charge des services de diffusion par flux unidirectionnel, p.ex. radio sur Internet pour monodiffusion [unicast]
  • H04L 67/02 - Protocoles basés sur la technologie du Web, p.ex. protocole de transfert hypertexte [HTTP]
  • H04L 67/60 - Ordonnancement ou organisation du service des demandes d'application, p.ex. demandes de transmission de données d'application en utilisant l'analyse et l'optimisation des ressources réseau requises

21.

MICROELECTRONIC ASSEMBLIES

      
Numéro d'application 18397873
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Elsherbini, Adel A.
  • Liff, Shawna M.
  • Swan, Johanna M.
  • Chandrasekhar, Arun

Abrégé

Microelectronic assemblies, and related devices and methods, are disclosed herein. For example, in some embodiments, a microelectronic assembly may include a package substrate having a first surface and an opposing second surface, and a die secured to the package substrate, wherein the die has a first surface and an opposing second surface, the die has first conductive contacts at the first surface and second conductive contacts at the second surface, and the first conductive contacts are coupled to conductive pathways in the package substrate by first non-solder interconnects.

Classes IPC  ?

  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,

22.

METHOD AND SYSTEM OF VIDEO CODING WITH HANDLING OF ILLEGAL BLOCK PARTITIONS

      
Numéro d'application 18399169
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Yang, Tsung-Han

Abrégé

Methods, systems, and articles are described herein related to video coding. The method comprises receiving compressed image data of video frames including a block of image data of at least one of the frames. The method also comprises receiving first partition data to be used to decode the compressed image data and indicating a partition in the block. This method comprises detecting whether or not the block has an illegal block partition. Also, the method comprises generating second partition data to indicate the illegal block partition of the block is to be ignored. Further, the method includes decoding the block at least according to the second partition data.

Classes IPC  ?

  • H04N 19/176 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le codage adaptatif caractérisés par l’unité de codage, c. à d. la partie structurelle ou sémantique du signal vidéo étant l’objet ou le sujet du codage adaptatif l’unité étant une zone de l'image, p.ex. un objet la zone étant un bloc, p.ex. un macrobloc
  • H04N 19/119 - Aspects de subdivision adaptative, p.ex. subdivision d’une image en blocs de codage rectangulaires ou non
  • H04N 19/186 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le codage adaptatif caractérisés par l’unité de codage, c. à d. la partie structurelle ou sémantique du signal vidéo étant l’objet ou le sujet du codage adaptatif l’unité étant une couleur ou une composante de chrominance
  • H04N 19/59 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le codage prédictif mettant en œuvre un sous-échantillonnage spatial ou une interpolation spatiale, p.ex. modification de la taille de l’image ou de la résolution
  • H04N 19/70 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques caractérisés par des aspects de syntaxe liés au codage vidéo, p.ex. liés aux standards de compression

23.

LASER ABLATION-BASED SURFACE PROPERTY MODIFICATION AND CONTAMINATION REMOVAL

      
Numéro d'application 18399178
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Myasishchev, Denis
  • Mazur, Andrew V.
  • Muthur Srinath, Purushotham Kaushik
  • Nickerson, Robert M.
  • Gokhale, Shripad

Abrégé

Embodiments disclosed herein include electronic packages. In an embodiment, the electronic package comprises a mold layer and a die embedded in the mold layer. In an embodiment the electronic package further comprises a solder resist with a first surface over the mold layer and a second surface opposite from the first surface. In an embodiment, the second surface comprises a first cavity into the solder resist.

Classes IPC  ?

  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

24.

VIDEO SUMMARIZATION USING SEMANTIC INFORMATION

      
Numéro d'application 18510354
Statut En instance
Date de dépôt 2023-11-15
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Hwangbo, Myung
  • Singh, Krishna Kumar
  • Lee, Teahyung
  • Tickoo, Omesh

Abrégé

Example apparatus disclosed herein are to process a first image of a first video segment from the image capture sensor with a machine learning algorithm to determine a first score for the first image, the machine learning algorithm to detect actions associated with images, the actions associated with labels. Disclosed example apparatus are also to determine a second score for the first video segment based on respective first scores for corresponding images in the first video segment. Disclosed example apparatus are further to determine, based on the second score, whether to retain the first video segment in the memory.

Classes IPC  ?

  • G06N 3/08 - Méthodes d'apprentissage
  • G06F 18/2431 - Classes multiples
  • G06N 3/045 - Combinaisons de réseaux
  • G06V 10/40 - Extraction de caractéristiques d’images ou de vidéos
  • G06V 10/764 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant la classification, p.ex. des objets vidéo
  • G06V 10/82 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant les réseaux neuronaux
  • G06V 20/40 - RECONNAISSANCE OU COMPRÉHENSION D’IMAGES OU DE VIDÉOS Éléments spécifiques à la scène dans le contenu vidéo

25.

TECHNOLOGIES FOR A FLEXIBLE 3D POWER PLANE IN A CHASSIS

      
Numéro d'application 18399565
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wang, Nan
  • Zhang, Zhichao Z.
  • Wu, Lihui
  • Xu, Jialiang
  • Liang, Xiaoguo
  • Chen, Bo
  • Gong, Haifeng

Abrégé

Technologies for a flexible three-dimensional power plane in a chassis are disclosed. In one embodiment, a flexible ribbon cable is laid along a circuit board tray. The flexible ribbon cable is secured to the tray using power bosses. The power bosses connect to one or more conductors on the ribbon cable. When the circuit board is mounted on the circuit board tray, the power bosses extend through holes in the circuit board and mate with power clips on the surface of the circuit board tray. The ribbon cable, power bosses, and power clips can distribute power to various locations on the circuit board, without requiring large traces that take up space on the circuit board.

Classes IPC  ?

  • H05K 7/14 - Montage de la structure de support dans l'enveloppe, sur cadre ou sur bâti
  • H01R 12/79 - Dispositifs de couplage pour circuits imprimés flexibles, câbles plats ou à rubans ou structures similaires se raccordant à des circuits imprimés rigides ou à des structures similaires
  • H05K 1/02 - Circuits imprimés - Détails

26.

IMAGE PROCESSING TECHNOLOGIES

      
Numéro d'application 17967666
Statut En instance
Date de dépôt 2022-10-17
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Iwamoto, Narifumi

Abrégé

A system that includes at least one memory device and at least one graphics processing unit (GPU) comprising at least one processor and at least one register accessible to the at least one processor. In some examples, the at least one processor is configured to: retrieve, from the at least one memory device, pixel data of a kernel grid into the at least one register to load pixel data neighboring a target pixel region once into the one or more registers and process the neighboring pixel data based on the retrieved pixel data of the kernel grid from the at least one register.

Classes IPC  ?

  • G06T 1/60 - Gestion de mémoire
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 5/00 - Amélioration ou restauration d'image
  • G06T 5/20 - Amélioration ou restauration d'image en utilisant des opérateurs locaux

27.

Lossless Compression for Multisample Render Targets Alongside Fragment Compression

      
Numéro d'application 18492520
Statut En instance
Date de dépôt 2023-10-23
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Surti, Prasoonkumar
  • Appu, Abhishek R.
  • Norris, Michael J.
  • Liskay, Eric G.

Abrégé

Described herein is a data processing system having a multisample antialiasing compressor coupled to a texture unit and shader execution array. In one embodiment, the data processing system includes a memory device to store a multisample render target, the multisample render target to store color data for a set of sample locations of each pixel in a set of pixels; and general-purpose graphics processor comprising a multisample antialiasing compressor to apply multisample antialiasing compression to color data generated for the set of sample locations of a first pixel in the set of pixels and a multisample render cache to store color data generated for the set of sample locations of the first pixel in the set of pixels, wherein color data evicted from the multisample render cache is to be stored to the multisample render target.

Classes IPC  ?

  • H04N 19/436 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques - caractérisés par les détails de mise en œuvre ou le matériel spécialement adapté à la compression ou à la décompression vidéo, p.ex. la mise en œuvre de logiciels spécialisés utilisant des dispositions de calcul parallélisées
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 5/20 - Amélioration ou restauration d'image en utilisant des opérateurs locaux
  • G06T 7/13 - Détection de bords
  • G06T 9/00 - Codage d'image
  • G06T 15/50 - Effets de lumière
  • H04N 19/85 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le pré-traitement ou le post-traitement spécialement adaptés pour la compression vidéo

28.

HARDWARE PROCESSOR CORE HAVING A MEMORY SLICED BY LINEAR ADDRESS

      
Numéro d'application 17949803
Statut En instance
Date de dépôt 2022-09-21
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Dechene, Mark
  • Carlson, Ryan
  • Majumdar, Sudeepto
  • Trapani Possignolo, Rafael
  • Petrica, Paula
  • Klass, Richard
  • Marathe, Meenakshi

Abrégé

Techniques for slicing memory of a hardware processor core by linear address are described. In certain examples, a hardware processor core includes memory circuitry having: a cache comprising a plurality of slices of memory, wherein each of a plurality of cache lines of memory are only stored in a single slice, and each slice stores a different range of address values compared to any other slice, wherein each of the plurality of slices of memory comprises: an incomplete load buffer to store a load address from the address generation circuit for a load request operation, broadcast to the plurality of slices of memory by the memory circuit from the execution circuit, in response to the load address being within a range of address values of that memory slice, a store address buffer to store a store address from the address generation circuit for a store request operation, broadcast to the plurality of slices of memory by the memory circuit from the execution circuit, in response to the store address being within a range of address values of that memory slice, a store data buffer to store data, including the data for the store request operation that is to be stored at the store address, for each store request operation broadcast to the plurality of slices of memory by the memory circuit from the execution circuit, and a store completion buffer to store the data for the store request operation in response to the store address being stored in the store address buffer of that memory slice, and, in response, clear the store address for the store request operation from the store address buffer and clear the data for the store request operation from the store data buffer.

Classes IPC  ?

  • G06F 12/1027 - Traduction d'adresses utilisant des moyens de traduction d’adresse associatifs ou pseudo-associatifs, p.ex. un répertoire de pages actives [TLB]
  • G06F 12/0882 - Mode de page

29.

TECHNOLOGIES FOR FUSING DATA FROM MULTIPLE SENSORS TO IMPROVE OBJECT DETECTION, IDENTIFICATION, AND LOCALIZATION

      
Numéro d'application 18528424
Statut En instance
Date de dépôt 2023-12-04
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kavulya, Soila
  • Chattopadhyay, Rita
  • Martinez-Canales, Monica Lucia

Abrégé

Technologies for performing sensor fusion include a compute device. The compute device includes circuitry configured to obtain detection data indicative of objects detected by each of multiple sensors of a host system. The detection data includes camera detection data indicative of a two or three dimensional image of detected objects and lidar detection data indicative of depths of detected objects. The circuitry is also configured to merge the detection data from the multiple sensors to define final bounding shapes for the objects.

Classes IPC  ?

  • G06T 7/73 - Détermination de la position ou de l'orientation des objets ou des caméras utilisant des procédés basés sur les caractéristiques

30.

SYSTEMS AND METHODS FOR PROVIDING NON-LEXICAL CUES IN SYNTHESIZED SPEECH

      
Numéro d'application 18491266
Statut En instance
Date de dépôt 2023-10-20
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Christian, Jessica M.
  • Graff, Peter
  • Nakatsu, Crystal A.
  • Hockey, Beth Ann

Abrégé

Systems and methods are disclosed for providing non-lexical cues in synthesized speech. An example system includes processor circuitry to generate a breathing cue to enhance speech to be synthesized from text; determine a first insertion point of the breathing cue in the text, wherein the breathing cue is identified by a first tag of a markup language; generate a prosody cue to enhance speech to be synthesized from the text; determine a second insertion point of the prosody cue in the text, wherein the prosody cue is identified by a second tag of the markup language; insert the breathing cue at the first insertion point based on the first tag and the prosody cue at the second insertion point based on the second tag; and trigger a synthesis of the speech from the text, the breathing cue, and the prosody cue.

Classes IPC  ?

  • G10L 13/027 - Synthétiseurs de parole à partir de concepts; Génération de phrases naturelles à partir de concepts automatisés
  • G06F 40/30 - Analyse sémantique
  • G06F 40/40 - Traitement ou traduction du langage naturel
  • G10L 13/08 - Analyse de texte ou génération de paramètres pour la synthèse de la parole à partir de texte, p.ex. conversion graphème-phonème, génération de prosodie ou détermination de l'intonation ou de l'accent tonique

31.

METHODS AND APPARATUS FOR TELEMETRY GRANULARITY MANAGEMENT

      
Numéro d'application 18397791
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Divan Koller, Mario Jose
  • Guim Bernat, Francesc
  • Dave, Manish Dhananjay
  • Carranza, Marcos Emanuel
  • Zhuang, Xiangyang
  • Hoban, Adrian Christopher

Abrégé

An example first device disclosed includes interface circuitry, machine readable instructions, and programmable circuitry to operate based on the machine readable instructions to update configuration data based on a telemetry pattern from a second device, the second device to satisfy a neighbor condition, generate telemetry data based on the configuration data, and update the first set of data based on feedback from a recipient of the telemetry data.

Classes IPC  ?

  • H04L 43/0864 - Retards de voyage aller-retour
  • H04L 43/04 - Traitement des données de surveillance capturées, p.ex. pour la génération de fichiers journaux
  • H04N 7/18 - Systèmes de télévision en circuit fermé [CCTV], c. à d. systèmes dans lesquels le signal vidéo n'est pas diffusé

32.

Method and system for dynamically detecting memory sub-channel mapping and data lane mapping between a memory controller and physical layer circuitry

      
Numéro d'application 18539350
Statut En instance
Date de dépôt 2023-12-14
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wei, Zhiguo
  • Li, Yufu
  • Xu, Tao

Abrégé

A method and apparatus for detecting data lane mapping between a first circuitry and a second circuitry in a system. The first and second circuitry include a plurality of first and second data lanes, respectively that are mapped each other. The external device and the first circuitry are configured with a specific data pattern. A data transfer test is performed such that the specific data pattern is transferred from the external device to the first circuitry via the second data lanes. The data transfer test is performed iteratively by adjusting timing parameters for the second data lanes in the second circuitry in a pre-configured range while setting a timing parameter for a target second data lane in the second circuitry to an invalid value. Data lane mapping for the target second data lane between the first circuitry and the second circuitry is determined based on the data transfer test result.

Classes IPC  ?

  • G06F 12/02 - Adressage ou affectation; Réadressage

33.

METHODS AND DEVICES FOR ITEM TRACKING IN CLOSED ENVIRONMENTS

      
Numéro d'application 18398207
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wouhaybi, Rita H.
  • Pasch, Frederik
  • Mudgal, Priyanka
  • Oboril, Fabian
  • Buerkle, Cornelius
  • Pisharody, Greeshma

Abrégé

An apparatus including a memory and a processor configured to: identify an item located within the environment based on sensor data, wherein the sensor data represents one or more sensor detections of the environment; determine a metric representative of a likelihood of the item becoming lost the within the environment based on information about the item; and select, based on the metric, at least one monitoring method to monitor the item within the environment from a plurality of monitoring methods.

Classes IPC  ?

  • G06Q 10/087 - Gestion d’inventaires ou de stocks, p.ex. exécution des commandes, approvisionnement ou régularisation par rapport aux commandes
  • G08B 21/24 - Alarmes aide-mémoire, p.ex. alarmes contre la perte
  • H04W 4/38 - Services spécialement adaptés à des environnements, à des situations ou à des fins spécifiques pour la collecte d’informations de capteurs

34.

PACKAGE SUBSTRATE WITH DUAL DAMASCENE BASED SELF-ALIGNED VIAS

      
Numéro d'application 18047033
Statut En instance
Date de dépôt 2022-10-17
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Ecton, Jeremy
  • Marin, Brandon C.
  • Pietambaram, Srinivas V.
  • Tanaka, Hiroki
  • Chen, Haobo

Abrégé

Embodiments of a microelectronic assembly that includes: a package substrate comprising a plurality of layers of organic dielectric material and conductive traces alternating with conductive vias in alternate layers of the organic dielectric material; and a plurality of integrated circuit dies coupled to a first side of the package substrate by interconnects, in which: the plurality of layers of the organic dielectric material comprises at least a first layer having a conductive via and a second layer having a conductive trace in contact with the conductive via, the second layer is not coplanar with the first layer, sidewalls of the conductive via are orthogonal to the conductive trace, and two opposing sidewalls of the conductive via separated by a width of the conductive via protrude from respectively proximate edges of the conductive trace by a protrusion that is at least ten times less than the width of the conductive via.

Classes IPC  ?

  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 23/14 - Supports, p.ex. substrats isolants non amovibles caractérisés par le matériau ou par ses propriétés électriques
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

35.

APPARATUS, SYSTEM AND METHOD OF AN ORTHOGONAL FREQUENCY-DIVISION MULTIPLEXING (OFDM) TRANSMISSION OVER A WIDE BANDWIDTH

      
Numéro d'application 18488792
Statut En instance
Date de dépôt 2023-10-17
Date de la première publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Min, Alexander W.
  • Kenney, Thomas J.
  • Cariou, Laurent
  • Azizi, Shahrnaz
  • Chen, Xiaogang
  • Stacey, Robert J.
  • Li, Qinghua

Abrégé

For example, an apparatus may include a segment parser to parse scrambled data bits of a PPDU into a first plurality of data bits and a second plurality of data bits, the PPDU to be transmitted in an OFDM transmission over an aggregated bandwidth comprising a first channel in a first frequency band and a second channel in a second frequency band; a first baseband processing block to encode and modulate the first plurality of data bits according to a first OFDM MCS for transmission over the first channel in the first frequency band; and a second baseband block to encode and modulate the second plurality of data bits according to a second OFDM MCS for transmission over the second channel in the second frequency band.

Classes IPC  ?

  • H04L 1/00 - Dispositions pour détecter ou empêcher les erreurs dans l'information reçue
  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission

36.

MANAGEMENT OF PACKET TRANSMISSION AND RESPONSES

      
Numéro d'application 18391565
Statut En instance
Date de dépôt 2023-12-20
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Farrokhbakht, Hossein
  • Petrini, Fabrizio

Abrégé

Examples described herein relate to a router. In some examples, the router includes an interface and circuitry coupled to the interface. In some examples, the circuitry is to determine whether an incoming packet is to reach a faulty link based on a fault location received in a received negative acknowledgment (NACK) message and based on a determination that the incoming packet is to reach the faulty link, drop the packet one or multiple hops before reaching the faulty link.

Classes IPC  ?

  • H04L 47/11 - Identification de la congestion
  • H04L 45/28 - Routage ou recherche de routes de paquets dans les réseaux de commutation de données en utilisant la reprise sur incident de routes
  • H04L 47/129 - Prévention de la congestion; Récupération de la congestion au point de destination final, p.ex. réservation des ressources du terminal ou de l’espace en mémoire tampon

37.

TECHNOLOGIES FOR WIRELESS SENSOR NETWORKS

      
Numéro d'application 18264214
Statut En instance
Date de dépôt 2022-03-03
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Khanna, Rahul
  • Qian, Yi
  • Pisharody, Greeshma
  • Arvind, Raju
  • Wang, Jiejie
  • Rumbel, Laura M.
  • Carlson, Christopher R.
  • Williams, Jennifer M.
  • Agyeman, Prince Adu

Abrégé

Various technologies relating to wireless sensor networks (WSNs) are disclosed, including, but not limited to, device onboarding and authentication, network association and synchronization, data logging and reporting, asset tracking, and automated flight state detection.

Classes IPC  ?

  • H04W 76/40 - Gestion de la connexion pour la distribution ou la diffusion sélective
  • H04W 12/00 - Dispositions de sécurité; Authentification; Protection de la confidentialité ou de l'anonymat
  • H04W 74/00 - Accès au canal sans fil, p.ex. accès planifié, accès aléatoire

38.

SEMI-AUTOMATIC TOOL TO CREATE FORMAL VERIFICATION MODELS

      
Numéro d'application 18394854
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Puri, Disha
  • Roychowdhury, Sparsa
  • Biradar, Geethabai
  • Drane, Theo
  • M V, Achutha Kiran Kumar

Abrégé

Described herein are techniques to automatically create a software model which covers the core functionality of a semiconductor design to be formally verified and can be easily consumed by a formal verification tool for software or semiconductor designs. These techniques enable verification engineers to expand the scope of formal verification to fix both software and RTL bugs, saving significant design time and reducing the time to market of for new products.

Classes IPC  ?

  • G06F 30/3323 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle utilisant des méthodes formelles, p.ex. vérification de l’équivalence ou vérification des propriétés
  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits

39.

CONFIGURING AND DYNAMICALLY RECONFIGURING CHAINS OF ACCELERATORS

      
Numéro d'application 17967756
Statut En instance
Date de dépôt 2022-10-17
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Gayen, Saurabh
  • Hughes, Christopher J.
  • Kakaiya, Utkarsh Y.
  • Heinecke, Alexander F.

Abrégé

A method of an aspect includes receiving a request for a chained accelerator operation, and configuring a chain of accelerators to perform the chained accelerator operation. This may include configuring a first accelerator to access an input data from a source memory location in system memory, process the input data, and generate first intermediate data. This may also include configuring a second accelerator to receive the first intermediate data, without the first intermediate data having been sent to the system memory, process the first intermediate data, and generate additional data. Other apparatus, methods, systems, and machine-readable medium are disclosed.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/355 - Adressage indexé

40.

HETEROGENEOUS NESTED INTERPOSER PACKAGE FOR IC CHIPS

      
Numéro d'application 18397915
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Mallik, Debendra
  • Mahajan, Ravindranath
  • Sankman, Robert
  • Liff, Shawna
  • Pietambaram, Srinivas
  • Penmecha, Bharat

Abrégé

Embodiments disclosed herein include electronic packages and methods of fabricating electronic packages. In an embodiment, an electronic package comprises an interposer, where a cavity passes through the interposer, and a nested component in the cavity. In an embodiment, the electronic package further comprises a die coupled to the interposer by a first interconnect and coupled to the nested component by a second interconnect. In an embodiment, the first and second interconnects comprise a first bump, a bump pad over the first bump, and a second bump over the bump pad.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

41.

PIPELINING SERVICES IN NEXT-GENERATION CELLULAR NETWORKS

      
Numéro d'application US2023076462
Numéro de publication 2024/081642
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Ding, Zongrui
  • Li, Qian
  • Tong, Xiaopeng
  • Stojanovski, Alexandre Saso
  • Luetzenkirchen, Thomas
  • Kolekar, Abhijeet
  • Palat, Sudeep
  • Bangolae, Sangeetha L.
  • Heo, Youn Hyoung

Abrégé

This disclosure describes systems, methods, and devices related to pipelining services. A device may receive from a service consumer a request for pipeline service with service orchestration chaining function (SOCF), wherein the request comprises pipeline service context information. The device may generate requirements for the pipeline service based on the pipeline service context information. The device may select Computation, Communication, and Data Control Functions (CFs) instances for the pipeline service. The device may send a pipeline policy create request to a policy control function (PCF) when the PCF is responsible for generating policies related to the pipeline service including a pipeline ID. The device may receive a pipeline policy create response from the PCF indicating results of the pipeline ID and policy generation.

Classes IPC  ?

  • H04L 47/2483 - Trafic caractérisé par des attributs spécifiques, p.ex. la priorité ou QoS en impliquant l’identification des flux individuels
  • H04L 41/0894 - Gestion de la configuration du réseau basée sur des règles
  • H04L 41/342 - Canaux de signalisation pour la communication dédiée à la gestion du réseau entre entités virtuelles, p.ex. orchestrateurs, SDN ou NFV
  • H04W 28/24 - Négociation de l'agrément du niveau de service [SLA Service Level Agreement]; Négociation de la qualité de service [QoS Quality of Service]
  • H04L 61/4511 - Répertoires de réseau; Correspondance nom-adresse en utilisant des protocoles normalisés d'accès aux répertoires en utilisant le système de noms de domaine [DNS]

42.

SEQUENTIAL MODELING WITH MEMORY INCLUDING MULTI-RANGE ARRAYS

      
Numéro d'application CN2022124510
Numéro de publication 2024/077463
Statut Délivré - en vigueur
Date de dépôt 2022-10-11
Date de publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Guo, Ping
  • Yao, Anbang
  • Wu, Xiangbin
  • Wu, Yufei
  • Lai, Mee Sim

Abrégé

A system for video segmentation may include a neural network and a memory including multi-range arrays. The multi-range arrays may store feature map arrays including different number of feature maps. The system may generate a feature map from a frame in a video at a time and store the feature map in the memory. The feature map may be in a feature map array that also includes one or more contextual feature maps generated from other frames in the video. The system uses the feature map array to determine whether the frame falls into a segment of the video. The system may generate a new feature map later from another frame and include the new feature map in a new feature map array that also includes the first feature map. The system uses the new feature map array to determine whether the new frame falls into a segment.

Classes IPC  ?

43.

MICROELECTRONICS PACKAGE COMPRISING A PACKAGE-ON-PACKAGE (POP) ARCHITECTURE WITH INKJET BARRIER MATERIAL FOR CONTROLLING BONDLINE THICKNESS AND POP ADHESIVE KEEP OUT ZONE

      
Numéro d'application 18399205
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Nofen, Elizabeth
  • Gokhale, Shripad
  • Ross, Nick
  • Eitan, Amram
  • Ananthakrishnan, Nisha
  • Nickerson, Robert M.
  • Muthur Srinath, Purushotham Kaushik
  • Guo, Yang
  • Decker, John C.
  • Li, Hsin-Yu

Abrégé

Embodiments disclosed herein include electronic packages. In an embodiment, the electronic package comprises a first package, wherein the first package comprises, a first package substrate, a first die over the first package substrate, a first mold layer over the first package substrate and around the first die, and a plurality of through mold interconnects (TMIs) through the first mold layer. The electronic package may further comprise a second package electrically coupled the first package by the TMIs, wherein the second package comprises a second package substrate, a second die over the second package substrate, and a solder resist over a surface of the second package substrate opposite from the second die. In an embodiment, the electronic package may also comprise a barrier between the first package and the second package.

Classes IPC  ?

  • H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes

44.

POWER OPTIMIZED BLEND

      
Numéro d'application 18390404
Statut En instance
Date de dépôt 2023-12-20
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Drane, Theo

Abrégé

Embodiments provided a blend circuit configured to perform a power optimized blend using blend circuitry configured such that the dynamic power consumed during the blending of two input color values is reduced when the input colors are close in value. When blending two identical input color values, a portion of the blend circuit can be bypassed and clock and/or data gated.

Classes IPC  ?

  • G06F 1/3234 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise
  • G06T 1/60 - Gestion de mémoire

45.

POWER BUDGETING FOR COMPUTER PERIPHERALS

      
Numéro d'application 18399224
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Shah, Kunal
  • Subrahmanyam, Prabhakar
  • Gopalakrishnan, Venkataramani
  • Tan, Chuen Ming
  • Kotakonda, Venkataramana
  • Shah, Mitsu
  • Rajaraman, Kannappan
  • Huang, Yi Jen
  • Berchanskiy, Dmitriy
  • Nukala, Swathi

Abrégé

Systems, apparatus, articles of manufacture, and methods are disclosed for power budgeting for computer peripherals with electronic devices. An example apparatus to budget power in an electronic device includes interface circuitry; machine readable instructions; and programmable circuitry to at least one of instantiate or execute the machine readable instructions to: detect a Type-C event associated with a computer peripheral; write a power level offset based on an assumed power contract for the computer peripheral during debounce time; obtain an actual power contract for the computer peripheral; and adjust the power level offset based on the actual power contract.

Classes IPC  ?

  • G06F 1/26 - Alimentation en énergie électrique, p.ex. régulation à cet effet
  • G06F 1/3203 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements

46.

ASSEMBLY OF 2XD MODULE USING HIGH DENSITY INTERCONNECT BRIDGES

      
Numéro d'application 18399220
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Waidhas, Bernd
  • Wolter, Andreas
  • Seidemann, Georg
  • Wagner, Thomas

Abrégé

Embodiments disclosed herein include electronic package and methods of forming such packages. In an embodiment, an electronic package comprises a mold layer and a first die embedded in the mold layer. In an embodiment, the first die comprises first pads at a first pitch and second pads at a second pitch. In an embodiment, the electronic package further comprises a second die embedded in the mold layer, where the second die comprises third pads at the first pitch and fourth pads at the second pitch. In an embodiment, a bridge die is embedded in the mold layer, and the bridge die electrically couples the second pads to the fourth pads.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

47.

SYSTEMS AND METHODS FOR TONE MAPPING OF HIGH DYNAMIC RANGE IMAGES FOR HIGH-QUALITY DEEP LEARNING BASED PROCESSING

      
Numéro d'application 18491533
Statut En instance
Date de dépôt 2023-10-20
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Afra, Attila Tamas

Abrégé

Systems and methods for tone mapping of high dynamic range (HDR) images for high-quality deep learning based processing are disclosed. In one embodiment, a graphics processor includes a media pipeline to generate media requests for processing images and an execution unit to receive media requests from the media pipeline. The execution unit is configured to compute an auto-exposure scale for an image to effectively tone map the image, to scale the image with the computed auto-exposure scale, and to apply a tone mapping operator including a log function to the image and scaling the log function to generate a tone mapped image.

Classes IPC  ?

  • G06T 5/92 - basée sur les propriétés globales des images
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 17/11 - Opérations mathématiques complexes pour la résolution d'équations
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion
  • G06N 3/08 - Méthodes d'apprentissage
  • G06T 5/70 - Débruitage; Lissage

48.

MANAGEMENT OF PACKET TRANSMISSION AND RESPONSES

      
Numéro d'application 18391521
Statut En instance
Date de dépôt 2023-12-20
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Farrokhbakht, Hossein
  • Petrini, Fabrizio

Abrégé

Examples described herein relate to a router interface device. In some examples, the router includes an interface and circuitry. In some examples, the circuitry is to: proactively drop a packet and send a negative acknowledgement (NACK) message to a sender based on lack of buffer space for a response associated with the packet and sent from a downstream network interface device that received the packet and also based on one or more of: congestion at a downstream switch or congestion at an endpoint receiver.

Classes IPC  ?

  • H04L 47/11 - Identification de la congestion
  • H04L 45/24 - Routes multiples
  • H04L 47/129 - Prévention de la congestion; Récupération de la congestion au point de destination final, p.ex. réservation des ressources du terminal ou de l’espace en mémoire tampon

49.

SYSTEMS FOR PERFORMING INSTRUCTIONS TO QUICKLY CONVERT AND USE TILES AS 1D VECTORS

      
Numéro d'application 18399014
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Toll, Bret
  • Hughes, Christopher J.
  • Baum, Dan
  • Ould-Ahmed-Vall, Elmoustapha
  • Sade, Raanan
  • Valentine, Robert
  • Charney, Mark J.
  • Heinecke, Alexander F.

Abrégé

Disclosed embodiments relate to systems for performing instructions to quickly convert and use matrices (tiles) as one-dimensional vectors. In one example, a processor includes fetch circuitry to fetch an instruction having fields to specify an opcode, locations of a two-dimensional (2D) matrix and a one-dimensional (1D) vector, and a group of elements comprising one of a row, part of a row, multiple rows, a column, part of a column, multiple columns, and a rectangular sub-tile of the specified 2D matrix, and wherein the opcode is to indicate a move of the specified group between the 2D matrix and the 1D vector, decode circuitry to decode the fetched instruction; and execution circuitry, responsive to the decoded instruction, when the opcode specifies a move from 1D, to move contents of the specified 1D vector to the specified group of elements.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

50.

MANAGEMENT OF PACKET TRANSMISSION AND RESPONSES

      
Numéro d'application 18391540
Statut En instance
Date de dépôt 2023-12-20
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Farrokhbakht, Hossein
  • Petrini, Fabrizio

Abrégé

Examples described herein relate to a router. In some examples, the router includes an interface and circuitry coupled to the interface. In some examples, the circuitry is to reserve a memory region in a buffer for a response sent by a receiver of a forwarded packet.

Classes IPC  ?

  • H04L 49/90 - Dispositions de mémoires tampon
  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache

51.

PROGRAM ANALYSIS, DESIGN SPACE EXPLORATION AND VERIFICATION FOR HIGH-LEVEL SYNTHESIS VIA E-GRAPH REWRITING

      
Numéro d'application 18396321
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Cheng, Jianyi
  • Coward, Samuel
  • Chelini, Lorenzo
  • Barbalho, Rafael
  • Drane, Theo

Abrégé

Described herein is a technique and associated tool for automatic program code optimization for high-level synthesis. The tool can efficiently explore multiple representations of an input program using e-graph rewriting and determine an HLS-efficient representation of program code for input into high-level synthesis tools.

Classes IPC  ?

52.

METHODS AND APPARATUS TO MANAGE WORKLOADS FOR AN OPERATING SYSTEM

      
Numéro d'application 18396350
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Xu, Leslie
  • Opferman, Toby
  • Sheffield, David Bradley
  • Singh, Mukta

Abrégé

Systems, apparatus, articles of manufacture, and methods are disclosed to manage workloads for an operating system wherein it causes programmable circuitry to cause a task of a workload to be executed with a first processor core configuration; cause the task to be executed with a second processor core configuration; compare a first performance metric of the execution of the task with the first processor core configuration to a second performance metric of the execution with the second processor core configuration; and cause to be used one of the first processor core configuration or the second processor core configuration based on the comparison.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption

53.

VOLTAGE REGULATOR CIRCUIT INCLUDING ONE OR MORE THIN-FILM TRANSISTORS

      
Numéro d'application 18396360
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Sharma, Abhishek A.
  • Le, Van H.
  • Sung, Seung Hoon
  • Pillarisetty, Ravi
  • Radosavljevic, Marko

Abrégé

Described herein are apparatuses, systems, and methods associated with a voltage regulator circuit that includes one or more thin-film transistors (TFTs). The TFTs may be formed in the back-end of an integrated circuit. Additionally, the TFTs may include one or more unique features, such as a channel layer treated with a gas or plasma, and/or a gate oxide layer that is thicker than in prior TFTs. The one or more TFTs of the voltage regulator circuit may improve the operation of the voltage regulator circuit and free up front-end substrate area for other devices. Other embodiments may be described and claimed.

Classes IPC  ?

  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • G05F 1/56 - Régulation de la tension ou de l'intensité là où la variable effectivement régulée par le dispositif de réglage final est du type continu utilisant des dispositifs à semi-conducteurs en série avec la charge comme dispositifs de réglage final
  • G06F 1/26 - Alimentation en énergie électrique, p.ex. régulation à cet effet
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/383 - Diffusion des impuretés, p.ex. des matériaux de dopage, des matériaux pour électrodes, dans ou hors du corps semi-conducteur, ou entre les régions semi-conductrices en utilisant la diffusion dans ou hors d'un solide, à partir d'une ou en phase gazeuse
  • H01L 29/24 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des matériaux semi-conducteurs inorganiques non couverts par les groupes , ,  ou
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/786 - Transistors à couche mince

54.

GRAPH NEURAL NETWORK MODEL FOR NEURAL NETWORK SCHEDULING DECISIONS

      
Numéro d'application 18394307
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Yous, Hamza
  • Hunter, Ian
  • Palla, Alessandro

Abrégé

A graph neural network (GNN) model is used in a scheduling process for compiling a deep neural network (DNN). The DNN, and parameter options for scheduling the DNN, are represented as a graph, and the GNN predicts a set of parameters that is expected to have a low cost. Using the GNN-based model, a compiler can produce a schedule for compiling the DNN in a relatively short and predictable amount of time, even for DNNs with many layers and/or many parameter options. For example, the GNN-based model reduces the overhead of exploring every parameter combination and does not exclude combinations from consideration like prior heuristic-based approaches.

Classes IPC  ?

  • G06N 3/042 - Réseaux neuronaux fondés sur la connaissance; Représentations logiques de réseaux neuronaux
  • G06N 3/08 - Méthodes d'apprentissage

55.

EMBEDDED DIE ARCHITECTURE AND METHOD OF MAKING

      
Numéro d'application 18392368
Statut En instance
Date de dépôt 2023-12-21
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Sankman, Robert L.
  • Manepalli, Rahul N.
  • May, Robert Alan
  • Pietambaram, Srinivas Venkata Ramanuja
  • Penmecha, Bharat P.

Abrégé

Semiconductor packages and methods for forming semiconductor packages are disclosed. An example semiconductor package includes a substrate and a core. An insulator material is present over the core, and along a direction perpendicular to a first surface of the core, a portion of the insulator material is between the core and a first surface of the substrate. A via extends between the first surface of the core and a second surface of the core in the direction perpendicular to the first surface of the core. A bridge die is in a recess in the substrate. The bridge die is coupled with the via. An electronic component is coupled to an end of the via at a second surface of the substrate.

Classes IPC  ?

  • H01L 23/15 - Substrats en céramique ou en verre
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

56.

SINGLE-RADIO MULTI-CHANNEL MEDIUM ACCESS

      
Numéro d'application 18346673
Statut En instance
Date de dépôt 2023-07-03
Date de la première publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s) Park, Minyoung

Abrégé

This disclosure describes systems, methods, and devices related to single-radio multi-channel medium access. A device may detect that a primary channel is occupied by a transmission of a first packet by a neighboring station device in an overlapping basic service set (OBSS). The device may detect that a secondary channel is idle. The device may select the secondary channel for packet transmission while the primary channel is occupied by the first packet. The device may cause to send a second packet to a first station device using the secondary channel.

Classes IPC  ?

  • H04W 76/15 - Gestion de la connexion Établissement de la connexion Établissement de connexions à liens multiples sans fil
  • H04W 74/0808 - utilisant une détection de porteuse, p.ex. accès multiple par détection de porteuse [CSMA]

57.

GENERIC SYNTHESIZABLE CIRCUIT COUNTERMEASURE AGAINST HARDWARE SCA

      
Numéro d'application 17964549
Statut En instance
Date de dépôt 2022-10-12
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Fung, Jason M.
  • Das, Debayan
  • Ray, Sayak
  • Elnaggar, Rana
  • Sabbagh, Majid

Abrégé

An apparatus, system, and method for protecting a component from an observation attack are provided. A power balancing circuit configured to protect a cryptography component can include a ring oscillator electrically connected to a power supply, a time-to-digital converter (TDC) electrically connected to monitor an electrical parameter of the electrical power drawn by the cryptography component and provide data indicative of the electrical parameter, and a controller circuit configured to adjust a number of inverters of the ring oscillator drawing power from the power supply based on the data.

Classes IPC  ?

  • H04L 9/00 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité

58.

METHOD AND APPARATUS TO USE DRAM AS A CACHE FOR SLOW BYTE-ADDRESSIBLE MEMORY FOR EFFICIENT CLOUD APPLICATIONS

      
Numéro d'application 18392310
Statut En instance
Date de dépôt 2023-12-21
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Dong, Yao Zu
  • Tian, Kun
  • Wu, Fengguang
  • Liu, Jingqi

Abrégé

Various embodiments are generally directed to virtualized systems. A first guest memory page may be identified based at least in part on a number of accesses to a page table entry for the first guest memory page in a page table by an application executing in a virtual machine (VM) on the processor, the first guest memory page corresponding to a first byte-addressable memory. The execution of the VM and the application on the processor may be paused. The first guest memory page may be migrated to a target memory page in a second byte-addressable memory, the target memory page comprising one of a target host memory page and a target guest memory page, the second byte-addressable memory having an access speed faster than an access speed of the first byte-addressable memory.

Classes IPC  ?

  • G06F 12/0802 - Adressage d’un niveau de mémoire dans lequel l’accès aux données ou aux blocs de données désirés nécessite des moyens d’adressage associatif, p.ex. mémoires cache
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

59.

HYPERSCALE POWER CONTROL FOR IMPROVED DATACENTER UTILIZATION

      
Numéro d'application 17965698
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Krishnapura, Sheshaprasad
  • Lal, Vipul
  • Pusuluri, Prasad
  • Srinivasappa, Harish
  • Wu, Yunhua
  • Kootaal Achuthan, Shaji
  • Tang, Ty

Abrégé

A server system can have an electrical hierarchy that includes a transformer level, a bus segment level, a power distribution unit (PDU) level, and a server device level. The different levels can have nominal safety levels of power draw that are lower than the actual maximum power draw capability. Based on monitoring power draw at multiple levels of the electrical hierarchy, a power manager can determine that it is permissible for a server device, a group of server devices, or a portion of the electrical hierarchy to exceed the nominal safety level of power draw.

Classes IPC  ?

  • G06F 1/28 - Surveillance, p.ex. détection des pannes d'alimentation par franchissement de seuils

60.

DECOUPLING CAPACITORS BASED ON DUMMY THROUGH-SILICON-VIAS

      
Numéro d'application 18396922
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Park, Changyok

Abrégé

Disclosed herein are IC structures with one or more decoupling capacitors based on dummy TSVs provided in a support structure. An example decoupling capacitor includes first and second capacitor electrodes and a capacitor insulator between them. The first capacitor electrode is a liner of a first electrically conductive material on sidewalls and a bottom of an opening in the support structure, the opening in the support structure extending from the first side towards, but not reaching, the second side. The capacitor insulator is a liner of a dielectric material on sidewalls and a bottom of the opening in the support structure lined with the first electrically conductive material. The second capacitor electrode is a second electrically conductive material filling at least a portion of the opening in the support structure lined with the first electrically conductive material and with the dielectric material.

Classes IPC  ?

  • H01G 4/35 - Condensateurs de traversée ou condensateurs antiparasites
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 27/08 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type

61.

PACKAGE ARCHITECTURE WITH GLASS CORE SUBSTRATE HAVING INTEGRATED INDUCTORS

      
Numéro d'application 18046635
Statut En instance
Date de dépôt 2022-10-14
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Marin, Brandon C.
  • Darmawikarta, Kristof Kuwawi
  • Pietambaram, Srinivas V.
  • Duan, Gang
  • Ecton, Jeremy
  • Nad, Suddhasattwa
  • Tanaka, Hiroki

Abrégé

Embodiments described herein enable a microelectronic assembly that includes: a first substrate comprising glass and at least one inductor, the first substrate having a first side and an opposing second side; a second substrate coupled to the first side of the first substrate; and a plurality of integrated circuit (IC) dies. A first subset of the plurality of IC dies is directly coupled to the second side of the first substrate, a second subset of the plurality of IC dies is directly coupled to the second substrate adjacent to the first substrate, and a third subset of the plurality of IC dies is embedded in the second substrate between the first substrate and the second subset of the plurality of IC dies.

Classes IPC  ?

  • H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des groupes principaux , ou dans une seule sous-classe de , , p.ex. circuit hybrides
  • H01F 27/02 - Enveloppes
  • H01F 27/28 - Bobines; Enroulements; Connexions conductrices
  • H01F 27/29 - Bornes; Aménagements de prises
  • H01F 41/00 - Appareils ou procédés spécialement adaptés à la fabrication ou à l'assemblage des aimants, des inductances ou des transformateurs; Appareils ou procédés spécialement adaptés à la fabrication des matériaux caractérisés par leurs propriétés magnétiques
  • H01F 41/04 - Appareils ou procédés spécialement adaptés à la fabrication ou à l'assemblage des aimants, des inductances ou des transformateurs; Appareils ou procédés spécialement adaptés à la fabrication des matériaux caractérisés par leurs propriétés magnétiques pour la fabrication de noyaux, bobines ou aimants pour la fabrication de bobines
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

62.

INSTRUCTIONS FOR FUSED MULTIPLY-ADD OPERATIONS WITH VARIABLE PRECISION INPUT OPERANDS

      
Numéro d'application 18399578
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Das, Dipankar
  • Mellempudi, Naveen K.
  • Dutta, Mrinmay
  • Kumar, Arun
  • Mudigere, Dheevatsa
  • Kundu, Abhisek

Abrégé

Disclosed embodiments relate to instructions for fused multiply-add (FMA) operations with variable-precision inputs. In one example, a processor to execute an asymmetric FMA instruction includes fetch circuitry to fetch an FMA instruction having fields to specify an opcode, a destination, and first and second source vectors having first and second widths, respectively, decode circuitry to decode the fetched FMA instruction, and a single instruction multiple data (SIMD) execution circuit to process as many elements of the second source vector as fit into an SIMD lane width by multiplying each element by a corresponding element of the first source vector, and accumulating a resulting product with previous contents of the destination, wherein the SIMD lane width is one of 16 bits, 32 bits, and 64 bits, the first width is one of 4 bits and 8 bits, and the second width is one of 1 bit, 2 bits, and 4 bits.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 7/483 - Calculs avec des nombres représentés par une combinaison non linéaire de nombres codés, p.ex. nombres rationnels, système de numération logarithmique ou nombres à virgule flottante
  • G06F 7/544 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p.ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06N 3/063 - Réalisation physique, c. à d. mise en œuvre matérielle de réseaux neuronaux, de neurones ou de parties de neurone utilisant des moyens électroniques

63.

FLOATING-POINT DECOMPOSITION CIRCUITRY WITH DYNAMIC PRECISION

      
Numéro d'application 18399381
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Dicecco, Roberto
  • Fender, Joshua
  • O'Connell, Shane

Abrégé

Circuitry for decomposing block floating-point numbers into lower precision floating-point numbers is provided. The circuitry may include a high precision storage circuit configured to provide high precision floating-point numbers, input selectors configured to receive the high precision floating-point numbers from the high precision storage circuit and to generate corresponding lower precision floating-point components with adjusted exponents, and a low precision block floating-point vector circuit configured to combine the various lower precision floating-point components generated by the input selectors. The lower precision floating-point components may be processed spatially or over multiple iterations over time.

Classes IPC  ?

  • G06F 7/485 - Addition; Soustraction
  • G06F 7/483 - Calculs avec des nombres représentés par une combinaison non linéaire de nombres codés, p.ex. nombres rationnels, système de numération logarithmique ou nombres à virgule flottante
  • G06F 7/487 - Multiplication; Division
  • G06F 7/499 - Maniement de valeur ou d'exception, p.ex. arrondi ou dépassement
  • G06F 7/544 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p.ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul
  • G06F 17/16 - Calcul de matrice ou de vecteur

64.

DIFFERENTIATED CONTAINERIZATION AND EXECUTION OF WEB CONTENT BASED ON TRUST LEVEL AND OTHER ATTRIBUTES

      
Numéro d'application 18478692
Statut En instance
Date de dépôt 2023-09-29
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Li, Hong C.
  • Vicente, John B.
  • Dewan, Prashant

Abrégé

Systems and methods may provide for receiving web content and determining a trust level associated with the web content. Additionally, the web content may be mapped to an execution environment based at least in part on the trust level. In one example, the web content is stored to a trust level specific data container.

Classes IPC  ?

  • H04L 9/40 - Protocoles réseaux de sécurité
  • G06F 21/51 - Contrôle des usagers, programmes ou dispositifs de préservation de l’intégrité des plates-formes, p.ex. des processeurs, des micrologiciels ou des systèmes d’exploitation au stade du chargement de l’application, p.ex. en acceptant, en rejetant, en démarrant ou en inhibant un logiciel exécutable en fonction de l’intégrité ou de la fiabilité de la source
  • G06F 21/53 - Contrôle des usagers, programmes ou dispositifs de préservation de l’intégrité des plates-formes, p.ex. des processeurs, des micrologiciels ou des systèmes d’exploitation au stade de l’exécution du programme, p.ex. intégrité de la pile, débordement de tampon ou prévention d'effacement involontaire de données par exécution dans un environnement restreint, p.ex. "boîte à sable" ou machine virtuelle sécurisée

65.

ADAPTIVE DEFORMABLE KERNEL PREDICTION NETWORK FOR IMAGE DE-NOISING

      
Numéro d'application 18514252
Statut En instance
Date de dépôt 2023-11-20
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Yao, Anbang
  • Lu, Ming
  • Wang, Yikai
  • Chen, Xiaoming
  • Huang, Junjie
  • Lv, Tao
  • Luo, Yuanke
  • Yang, Yi
  • Chen, Feng
  • Wang, Zhiming
  • Zheng, Zhiqiao
  • Wang, Shandong

Abrégé

Embodiments are generally directed to an adaptive deformable kernel prediction network for image de-noising. An embodiment of a method for de-noising an image by a convolutional neural network implemented on a compute engine, the image including a plurality of pixels, the method comprising: for each of the plurality of pixels of the image, generating a convolutional kernel having a plurality of kernel values for the pixel; generating a plurality of offsets for the pixel respectively corresponding to the plurality of kernel values, each of the plurality of offsets to indicate a deviation from a pixel position of the pixel; determining a plurality of deviated pixel positions based on the pixel position of the pixel and the plurality of offsets; and filtering the pixel with the convolutional kernel and pixel values of the plurality of deviated pixel positions to obtain a de-noised pixel.

Classes IPC  ?

  • G06T 5/00 - Amélioration ou restauration d'image
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion

66.

SECURE LINK RECOMMENDATION WITH ENHANCED INTEGRITY IN MULTIPLE BASIC SERVICE SET IDENTIFICATION NETWORKS

      
Numéro d'application 18398442
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Cariou, Laurent

Abrégé

An apparatus of an access point multi-link device (AP MLD) is configured as a Transmitted Basic Service Set Identifier (TxBSSID) in a wireless network including a multiple BSSID (MBSSID) set. The apparatus includes memory and processing circuitry coupled to the memory and configured to encode beacon frames for transmission to non-AP MLDs in the wireless network. The transmission is on behalf of the TxBSSID and Non-Transmitted BSSIDs (NonTxBSSIDs) within the MBSSID set. A link recommendation frame is encoded for transmission to the non-AP MLDs. The link recommendation frame includes link recommendations for the non-AP MLDs associated with any APs in the MBSSID set. A group management cipher suite of the TxBSSID is used to protect the link recommendation frame encoded for the transmission.

Classes IPC  ?

67.

Apparatus, Device, Method, Computer Program and Computer System for Determining Presence of a Noisy Neighbor Virtual Machine

      
Numéro d'application 18394677
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Minakshi, Mona
  • Najnin, Shamima
  • Poornachandran, Rajesh

Abrégé

Examples relate to an apparatus, a device, a method, a computer program (or computer-readable medium) and computer system for determining presence of a noisy neighbor virtual machine. Some aspects of the present disclosure relate to an apparatus for a computer system, the apparatus comprising interface circuitry, machine-readable instructions, and processor circuitry to execute the machine-readable instructions to obtain performance information of one or more hardware performance measurement components of the computer system, determine, based on the performance information, a deviation of a utilization of the computer system from an expected utilization of the computer system, and determine presence of a first virtual machine having a workload that impacts a performance of one or more second virtual machines based on the deviation.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation

68.

METHODS AND APPARATUS TO IMPLEMENT SUPER-RESOLUTION UPSCALING FOR DISPLAY DEVICES

      
Numéro d'application 18397751
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Van Beek, Petrus
  • Wu, Chyuan-Tyng

Abrégé

Systems, apparatus, articles of manufacture, and methods are disclosed to generate super-resolution upscaling. An example apparatus to process an image disclosed herein includes interface circuitry to accept input image data with a first resolution, machine readable instructions, and programmable circuitry to at least one of instantiate or execute the machine readable instructions to upscale the input image data based on an upscale factor to generate intermediate image data with a second resolution higher than the first resolution, process the input image data with a neural network to produce neural network output data with a number of channels per pixel that is based on the upscale factor, combine the intermediate image and the neural network output data to generate output image data with the second resolution.

Classes IPC  ?

  • G06T 3/4053 - basé sur la super-résolution, c.-à-d. où la résolution de l’image obtenue est plus élevée que la résolution du capteur
  • G06T 3/4046 - utilisant des réseaux neuronaux

69.

METHODS AND APPARATUS TO COMPILE PORTABLE CODE FOR SPECIFIC HARDWARE

      
Numéro d'application 18399033
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Oboril, Fabian
  • Buerkle, Cornelius

Abrégé

Systems, apparatus, articles of manufacture, and methods are disclosed that compile portable code for specific hardware are disclosed herein that include an apparatus including computer readable instructions, and programmable circuitry to at least one of execute or instantiate the instructions to receive input code, the input code written for operation on a first platform, determine a target platform, the target platform different than the first platform, and translate, via an artificial intelligence (AI) model, the input code to output code, the output code written for operation on the target platform.

Classes IPC  ?

70.

CHAINED ACCELERATOR OPERATIONS WITH STORAGE FOR INTERMEDIATE RESULTS

      
Numéro d'application 17967768
Statut En instance
Date de dépôt 2022-10-17
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Hughes, Christopher J.
  • Gayen, Saurabh
  • Kakaiya, Utkarsh Y.
  • Heinecke, Alexander F.

Abrégé

A chip or other apparatus of an aspect includes a first accelerator and a second accelerator. The first accelerator has support for a chained accelerator operation. The first accelerator is to be controlled as part of the chained accelerator operation to access an input data from a source memory location in system memory, process the input data, generate first intermediate data, and store the first intermediate data to a storage. The second accelerator also has support for the chained accelerator operation. The second accelerator is to be controlled as part of the chained accelerator operation to receive the first intermediate data from the storage, without the first intermediate data having been sent to the system memory, process the first intermediate data, and generate additional data. Other apparatus, methods, systems, and machine-readable medium are disclosed.

Classes IPC  ?

  • G06T 1/60 - Gestion de mémoire
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline

71.

NESTED ARCHITECTURES FOR ENHANCED HETEROGENEOUS INTEGRATION

      
Numéro d'application 18397906
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Mahajan, Ravindranath
  • Mallik, Debendra
  • Sharan, Sujit
  • Raorane, Digvijay

Abrégé

Embodiments disclosed herein include electronic packages and methods of forming such electronic packages. In an embodiment, the electronic package comprises a base substrate. The base substrate may have a plurality of through substrate vias. In an embodiment, a first die is over the base substrate. In an embodiment a first cavity is disposed into the base substrate. In an embodiment, the first cavity is at least partially within a footprint of the first die. In an embodiment, a first component is in the first cavity.

Classes IPC  ?

  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/34 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,

72.

SYSTEMS AND METHODS FOR AN ACCELERATED AND ENHANCED TUNING OF A MODEL BASED ON PRIOR MODEL TUNING DATA

      
Numéro d'application 18397909
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Mccourt, Michael
  • Hsu, Ben
  • Hayes, Patrick
  • Clark, Scott

Abrégé

Disclosed examples including generating a joint model based on first and second subject models, the first and second subject models selected based on a relationship between the first and second subject models; selecting the joint model from a plurality of joint models after a determination that entropy data points of the joint model satisfy a threshold, the entropy data points based on multiple tuning trials of the joint model; and providing tuning data associated with the joint model to a tuning session of a target model.

Classes IPC  ?

  • G06N 20/20 - Techniques d’ensemble en apprentissage automatique
  • G06F 18/21 - Conception ou mise en place de systèmes ou de techniques; Extraction de caractéristiques dans l'espace des caractéristiques; Séparation aveugle de sources
  • G06F 18/211 - Sélection du sous-ensemble de caractéristiques le plus significatif
  • G06F 18/22 - Critères d'appariement, p.ex. mesures de proximité
  • G06F 18/23 - Techniques de partitionnement

73.

CHAINED ACCELERATOR OPERATIONS

      
Numéro d'application 17967740
Statut En instance
Date de dépôt 2022-10-17
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Gayen, Saurabh
  • Hughes, Christopher J.
  • Kakaiya, Utkarsh Y.
  • Heinecke, Alexander F.

Abrégé

A chip or other apparatus of an aspect includes a first accelerator and a second accelerator. The first accelerator has support for a chained accelerator operation. The first accelerator is to be controlled as part of the chained accelerator operation to access an input data from a source memory location in system memory, process the input data, and generate first intermediate data. The second accelerator also has support for the chained accelerator operation. The second accelerator is to be controlled as part of the chained accelerator operation to receive the first intermediate data, without the first intermediate data having been sent to the system memory, process the first intermediate data, and generate additional data. Other apparatus, methods, systems, and machine-readable medium are disclosed.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 9/355 - Adressage indexé
  • G06F 9/38 - Exécution simultanée d'instructions

74.

ENHANCED CONFIGURATION OF CHANNEL SOUNDING SIGNAL FOR BANDWIDTH STITCHING FOR WIRLESS DEVICE POSITIONING

      
Numéro d'application US2023076074
Numéro de publication 2024/081537
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Wang, Guotong
  • Xiong, Gang
  • Chatterjee, Debdeep
  • Lee, Jihyun

Abrégé

This disclosure describes systems, methods, and devices for configuring sounding reference signal resources across multiple frequency locations for device positioning. A device may encode for transmission a sounding reference signal (SRS) including a first set of SRS resources for a first transmission by a user equipment (UE) device to the node B network device at a first time and a second set of SRS resources for a second transmission by the UE device to the node B network device at a second time; decode the first transmission received from the UE device using the first set and a first bandwidth at the first time; decode the second transmission received from the UE device using the second set and a second bandwidth at the second time; and combine the first transmission and the second transmission for a device positioning estimation based on the first bandwidth and the second bandwidth.

Classes IPC  ?

  • H04W 64/00 - Localisation d'utilisateurs ou de terminaux pour la gestion du réseau, p.ex. gestion de la mobilité
  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission
  • H04W 72/04 - Affectation de ressources sans fil
  • H04W 72/21 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens ascendant de la liaison sans fil, c. à d. en direction du réseau

75.

EDGE-NATIVE MANAGEMENT SYSTEM OF EDGE APPLICATIONS

      
Numéro d'application US2023034948
Numéro de publication 2024/081317
Statut Délivré - en vigueur
Date de dépôt 2023-10-11
Date de publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Filippou, Miltiadis
  • Sabella, Dario

Abrégé

Various approaches of an edge-native management system, including a session management function application for configuration of edge applications and network traffic functions, are disclosed. An example method for configuration of an edge computing application, performed by a session management function application, includes: receiving network information from an edge computing platform (e.g., MEC platform) that includes an edge computing application (e.g., MEC App), with the network information being received via a network experience function (NEF) of a mobile network (e.g., 3GPP 5G network); transmitting a request to the edge computing platform, based on the received network information, the request including an application data traffic configuration action to perform on the edge computing application; and receiving a response to the request from the edge computing platform, with the response indicating a status of the application data traffic configuration action performed on the edge computing application.

Classes IPC  ?

  • H04L 67/61 - Ordonnancement ou organisation du service des demandes d'application, p.ex. demandes de transmission de données d'application en utilisant l'analyse et l'optimisation des ressources réseau requises en tenant compte de la qualité de service [QoS] ou des exigences de priorité
  • H04L 67/10 - Protocoles dans lesquels une application est distribuée parmi les nœuds du réseau
  • G06F 9/451 - Dispositions d’exécution pour interfaces utilisateur
  • H04W 88/14 - Dispositifs formant réseau fédérateur

76.

EXPANDED PUCCH TRANSMISSION BANDWIDTH FOR HIGH CARRIER FREQUENCY OPERATION

      
Numéro d'application 18267903
Statut En instance
Date de dépôt 2022-01-12
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Talarico, Salvatore
  • Xiong, Gang
  • Li, Yingyang
  • Lee, Daewon

Abrégé

A user equipment (UE) configured for carrier frequency operations above 52.6 GHz may decode radio-resource control (RRC) signalling received from a gNodeB (gNB) to configure the UE with a number of resource blocks (RBs) (NRB) for a physical uplink control channel (PUCCH) resource for each of one or more enhanced PUCCH formats. The one or more enhanced PUCCH formats may include enhanced PUCCH format 0, enhanced PUCCH format 1 and enhanced PUCCH format 4. The number of RBs may be configurable to be more than one for the enhanced PUCCH format 0, the enhanced PUCCH format 1 and the enhanced PUCCH format 4. The UE may encode an enhanced PUCCH format for transmission in accordance with one of the enhanced PUCCH format 0, the enhanced PUCCH format 1 and the enhanced PUCCH format 4. The enhanced PUCCH format may be transmitted to occupy the number of RBs that are configured.

Classes IPC  ?

  • H04W 72/21 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens ascendant de la liaison sans fil, c. à d. en direction du réseau
  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission

77.

GATE-ALL-AROUND INTEGRATED CIRCUIT STRUCTURES FABRICATED USING ALTERNATE ETCH SELECTIVE MATERIAL

      
Numéro d'application 18390952
Statut En instance
Date de dépôt 2023-12-20
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Naskar, Sudipto
  • Guha, Biswajeet
  • Hsu, William
  • Beattie, Bruce
  • Ghani, Tahir

Abrégé

Gate-all-around integrated circuit structures fabricated using alternate etch selective material, and the resulting structures, are described. For example, an integrated circuit structure includes a vertical arrangement of horizontal nanowires. A gate stack is over the vertical arrangement of horizontal nanowires. A pair of dielectric spacers is along sides of the gate stack and over the vertical arrangement of horizontal nanowires. A metal oxide material is between adjacent ones of the vertical arrangement of horizontal nanowires at a location between the pair of dielectric spacers and the sides of the gate stack.

Classes IPC  ?

  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/8234 - Technologie MIS
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

78.

DATA CLEARING ATTESTATION

      
Numéro d'application 18390958
Statut En instance
Date de dépôt 2023-12-20
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Tan, Tat Kin
  • Kee, Chew Yee
  • Ng, Boon Khai

Abrégé

One or more non-transitory computer-readable media with instructions stored thereon, wherein the instructions are executable to cause one or more processor units to responsive to a data clear command issued by a tenant of a cloud service provider, issue a plurality of write commands to storage locations utilized by the tenant, the write commands to write a value based on an input provided by the tenant to the storage locations; and provide data read from at least a subset of the storage locations for attestation by the tenant of performance of the data clear command.

Classes IPC  ?

  • H04L 9/06 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité l'appareil de chiffrement utilisant des registres à décalage ou des mémoires pour le codage par blocs, p.ex. système DES

79.

INTEGRITY PROTECTED COMMAND BUFFER EXECUTION

      
Numéro d'application 18391375
Statut En instance
Date de dépôt 2023-12-20
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Pappachan, Pradeep M.
  • Lal, Reshma

Abrégé

Embodiments are directed to providing integrity-protected command buffer execution. An embodiment of an apparatus includes a computer-readable memory comprising one or more command buffers and a processing device communicatively coupled to the computer-readable memory to read, from a command buffer of the computer-readable memory, a first command received from a host device, the first command executable by one or more processing elements on the processing device, the first command comprising an instruction and associated parameter data, compute a first authentication tag using a cryptographic key associated with the host device, the instruction and at least a portion of the parameter data, and authenticate the first command by comparing the first authentication tag with a second authentication tag computed by the host device and associated with the command.

Classes IPC  ?

  • H04L 9/32 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité comprenant des moyens pour vérifier l'identité ou l'autorisation d'un utilisateur du système
  • G06F 21/60 - Protection de données
  • H04L 9/08 - Répartition de clés

80.

ELECTRONIC CIRCUITRY, SYSTEM, BASE STATION, MOBILE DEVICE AND METHOD

      
Numéro d'application 18458063
Statut En instance
Date de dépôt 2023-08-29
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Ben-Bassat, Assaf
  • Borokhovich, Eli
  • Skliar, Phillip

Abrégé

An electronic circuitry is proposed. The electronic circuitry comprises a directional coupler comprising a first port configured to receive an input signal from a signal source, a second port configured to output the input signal for transmission to a load, a third port configured to output a forward signal based on the input signal, and a fourth port configured to output a reverse signal based on a reflection of the input signal received at the second port. The electronic circuitry further comprises a Time-to-Digital converter, TDC, coupled to the third port and the fourth port. The TDC is configured to determine a phase difference between the forward signal and the reverse signal.

Classes IPC  ?

  • H04B 17/10 - Surveillance; Tests d’émetteurs
  • G01R 21/133 - Dispositions pour procéder aux mesures de la puissance ou du facteur de puissance en utilisant des techniques numériques
  • G01R 25/00 - Dispositions pour procéder aux mesures de l'angle de phase entre une tension et un courant ou entre des tensions ou des courants
  • H04B 3/06 - Systèmes à ligne de transmission - Détails Égalisation par le signal transmis
  • H04B 17/14 - Surveillance; Tests d’émetteurs pour l’étalonnage de l’ensemble voie d’émission/voie de réception, p.ex. bouclage d’autotest
  • H04L 25/02 - Systèmes à bande de base - Détails

81.

INSTRUCTION SET ARCHITECTURE SUPPORT FOR AT-SPEED NEAR-MEMORY ATOMIC OPERATIONS IN A NON-CACHED DISTRIBUTED MEMORY SYSTEM

      
Numéro d'application 18458462
Statut En instance
Date de dépôt 2023-08-30
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Sharma, Shruti
  • Pawlowski, Robert

Abrégé

Systems, apparatuses and methods may provide for technology that detects a condition in which a plurality of atomic instructions target a common address and different bit positions in a mask, generates a combined read-lock request for the plurality of atomic instructions in response to the condition, and sends the combined read-lock request to a lock buffer coupled to a memory device associated with the common address.

Classes IPC  ?

  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire
  • G06F 9/52 - Synchronisation de programmes; Exclusion mutuelle, p.ex. au moyen de sémaphores

82.

TECHNOLOGIES FOR ACCELERATED QUIC PACKET PROCESSING WITH HARDWARE OFFLOADS

      
Numéro d'application 18514713
Statut En instance
Date de dépôt 2023-11-20
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Deval, Manasi
  • Bowers, Gregory

Abrégé

Technologies for accelerated QUIC packet processing include a computing device having a network controller. The computing device programs the network controller with an encryption key associated with a QUIC protocol connection. The computing device may pass a QUIC packet to the network controller, which encrypts a payload of the QUIC packet using the encryption key. The network controller may segment the QUIC packet into multiple segmented QUIC packets before encryption. The network controller transmits encrypted QUIC packets to a remote host. The network controller may receive encrypted QUIC packets from a remote host. The network controller decrypts the encrypted payload of received QUIC packets and may evaluate an assignment function with an entropy source in the received QUIC packets and forward the received QUIC packets to a receive queue based on the assignment function. Each receive queue may be associated with a processor core. Other embodiments are described and claimed.

Classes IPC  ?

  • H04L 9/40 - Protocoles réseaux de sécurité
  • H04L 9/08 - Répartition de clés
  • H04L 69/16 - Implémentation ou adaptation du protocole Internet [IP], du protocole de contrôle de transmission [TCP] ou du protocole datagramme utilisateur [UDP]
  • H04L 69/164 - Adaptation ou utilisations spéciales du protocole UDP
  • H04L 69/321 - Protocoles de communication inter-couches ou définitions d'unité de données de service [SDU]; Interfaces entre les couches
  • H04L 69/324 - Protocoles de communication intra-couche entre entités paires ou définitions d'unité de données de protocole [PDU] dans la couche liaison de données [couche OSI 2], p.ex. HDLC

83.

TEMPORALLY AMORTIZED SUPERSAMPLING USING A KERNEL SPLATTING NETWORK

      
Numéro d'application 18528292
Statut En instance
Date de dépôt 2023-12-04
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kozlov, Dmitry
  • Chernigin, Aleksei
  • Tarakanov, Dmitry

Abrégé

One embodiment provides a graphics processor comprising a set of processing resources configured to perform a supersampling anti-aliasing operation via a mixed precision convolutional neural network. The set of processing resources include circuitry configured to receive, at an input block of a neural network model, a set of data including previous frame data, current frame data, jitter offset data, and velocity data, pre-process the set of data to generate pre-processed data, provide pre-processed data to a feature extraction network of the neural network model and an output block of the neural network model, process the first pre-processed data at the feature extraction network via one or more encoder stages and one or more decoder stages, output tensor data from the feature extraction network to the output block, and generate an anti-aliased output frame via the output block based on the current frame data and the tensor data output from the feature extraction network.

Classes IPC  ?

  • G06T 3/4046 - utilisant des réseaux neuronaux
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion
  • G06N 3/098 - Apprentissage distribué, p.ex. apprentissage fédéré
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 3/4053 - basé sur la super-résolution, c.-à-d. où la résolution de l’image obtenue est plus élevée que la résolution du capteur
  • G06T 11/00 - Génération d'images bidimensionnelles [2D]
  • G06T 11/20 - Traçage à partir d'éléments de base, p.ex. de lignes ou de cercles

84.

DEVICES AND METHODS FOR UPDATING MAPS IN AUTONOMOUS DRIVING SYSTEMS IN BANDWIDTH CONSTRAINED NETWORKS

      
Numéro d'application 18536308
Statut En instance
Date de dépôt 2023-12-12
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Dorrance, Richard
  • Alvarez, Ignacio
  • Dasalukunte, Deepak
  • Alam, S M Iftekharul
  • Sharma, Sridhar
  • Sivanesan, Kathiravetpillai
  • Gonzalez Aguirre, David Israel
  • Krishnan, Ranganath
  • Jha, Satish

Abrégé

A method for authenticating features reported by a vehicle includes receiving, from a network, a map of an area with confidence weights corresponding to each feature on the map and/or a list of trusted users; upon the vehicle entering the area, checking whether the vehicle is on the list of trusted users; and checking features reported from the vehicle and matching the features to the map of the area.

Classes IPC  ?

  • H04W 4/46 - Services spécialement adaptés à des environnements, à des situations ou à des fins spécifiques pour les véhicules, p.ex. communication véhicule-piétons pour la communication de véhicule à véhicule
  • G08G 1/01 - Détection du mouvement du trafic pour le comptage ou la commande
  • H04W 72/04 - Affectation de ressources sans fil

85.

METHODS, SYSTEMS, APPARATUS, AND ARTICLES OF MANUFACTURE TO AUGMENT TRAINING DATA BASED ON SYNTHETIC IMAGES

      
Numéro d'application 18542133
Statut En instance
Date de dépôt 2023-12-15
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Bhasin, Anmol
  • Ramachandran, Shekar
  • Palit, Rudra Nath
  • Agrahari, Rupali
  • Gadam, Sai Pramod

Abrégé

Methods, systems, apparatus, and articles of manufacture to augment training data based on synthetic images are disclosed. An example apparatus disclosed herein includes programmable circuitry to generate, with one or more first layers of a generative adversarial network (GAN), a latent representation corresponding to a first image representative of a first racial domain, generate, with one or more second layers of the GAN, a second image based on the latent representation, the second image corresponding to a second racial domain different from the first racial domain, and augment a training dataset based on the second image.

Classes IPC  ?

  • G06V 10/774 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant l’intégration et la réduction de données, p.ex. analyse en composantes principales [PCA] ou analyse en composantes indépendantes [ ICA] ou cartes auto-organisatrices [SOM]; Séparation aveugle de source méthodes de Bootstrap, p.ex. "bagging” ou “boosting”
  • G06V 10/776 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant l’intégration et la réduction de données, p.ex. analyse en composantes principales [PCA] ou analyse en composantes indépendantes [ ICA] ou cartes auto-organisatrices [SOM]; Séparation aveugle de source Évaluation des performances
  • G06V 10/82 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant les réseaux neuronaux
  • G06V 20/40 - RECONNAISSANCE OU COMPRÉHENSION D’IMAGES OU DE VIDÉOS Éléments spécifiques à la scène dans le contenu vidéo
  • G06V 40/16 - Visages humains, p.ex. parties du visage, croquis ou expressions

86.

METHOD AND APPARATUS TO MANAGE PROCESSOR POWER CONSUMPTION BASED ON MESSAGE QUEUE UTILIZATION

      
Numéro d'application 18542452
Statut En instance
Date de dépôt 2023-12-15
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Gujjar, Abhinandan
  • Kaladi, Ashok Kumar

Abrégé

Methods, apparatus, and computer programs are disclosed for managing processor power consumption based on message queue utilization. In one embodiment, a method comprising: distributing messages to a set of processor cores of a processor, wherein one message is distributed per distribution round to one queue within a set of queues, each queue corresponding to one processor core within the set of processor cores and including one or more queue entries to be processed by the one processor core, and where the distribution is based on utilization of the set of queues; based on utilization of a corresponding queue for a processor core of the set of processor cores, determining a power state for the processor core to be changed to; and distributing a message to the corresponding queue, the message to cause the processor core to be set to the power state.

Classes IPC  ?

  • G06F 1/329 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par planification de tâches
  • G06F 1/3296 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par diminution de la tension d’alimentation ou de la tension de fonctionnement
  • G06F 11/34 - Enregistrement ou évaluation statistique de l'activité du calculateur, p.ex. des interruptions ou des opérations d'entrée–sortie

87.

APPARATUSES, METHODS, AND SYSTEMS FOR NEURAL NETWORKS

      
Numéro d'application 18543357
Statut En instance
Date de dépôt 2023-12-18
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Venkataramani, Swagath
  • Das, Dipankar
  • Ranjan, Ashish
  • Banerjee, Subarno
  • Avancha, Sasikanth
  • Jagannathan, Ashok
  • Durg, Ajaya V.
  • Nagaraj, Dheemanth
  • Kaul, Bharat
  • Raghunathan, Anand

Abrégé

Methods and apparatuses relating to processing neural networks are described. In one embodiment, an apparatus to process a neural network includes a plurality of fully connected layer chips coupled by an interconnect; a plurality of convolutional layer chips each coupled by an interconnect to a respective fully connected layer chip of the plurality of fully connected layer chips and each of the plurality of fully connected layer chips and the plurality of convolutional layer chips including an interconnect to couple each of a forward propagation compute intensive tile, a back propagation compute intensive tile, and a weight gradient compute intensive tile of a column of compute intensive tiles between a first memory intensive tile and a second memory intensive tile.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/52 - Synchronisation de programmes; Exclusion mutuelle, p.ex. au moyen de sémaphores
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion
  • G06N 3/063 - Réalisation physique, c. à d. mise en œuvre matérielle de réseaux neuronaux, de neurones ou de parties de neurone utilisant des moyens électroniques
  • G06N 3/084 - Rétropropagation, p.ex. suivant l’algorithme du gradient

88.

Techniques For Arranging Conductive Pads In Electronic Devices

      
Numéro d'application 18543749
Statut En instance
Date de dépôt 2023-12-18
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kolluru, Krishna Bharath
  • Maheshwari, Atul
  • Kumashikar, Mahesh
  • Hossain, Md Altaf
  • Nalamalpu, Ankireddy
  • Karhade, Omkar

Abrégé

An electronic device includes first and second external conductive pads coupled to route a first signal and third and fourth external conductive pads. The third and the fourth external conductive pads are between the first and the second external conductive pads on a surface of the electronic device.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/498 - Connexions électriques sur des substrats isolants

89.

CONTACT OVER ACTIVE GATE STRUCTURES WITH CONDUCTIVE GATE TAPS FOR ADVANCED INTEGRATED CIRCUIT STRUCTURE FABRICATION

      
Numéro d'application 18543784
Statut En instance
Date de dépôt 2023-12-18
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s) Tan, Elliot

Abrégé

Contact over active gate (COAG) structures with conductive gate taps are described. In an example, an integrated circuit structure includes a plurality of gate structures above a substrate, each of the gate structures including a gate insulating layer thereon. Each of the plurality of gate structures includes a conductive tap structure protruding through the corresponding gate insulating layer. A plurality of conductive trench contact structures is alternating with the plurality of gate structures, each of the conductive trench contact structures including a trench insulating layer thereon. An interlayer dielectric material is above the trench insulating layers and the gate insulating layers. An opening is in the interlayer dielectric material and exposes the conductive tap structure of one of the plurality of gate structures. A conductive structure is in the opening and is in direct contact with the conductive tap structure of one of the plurality of gate structures.

Classes IPC  ?

  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/40 - Electrodes
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

90.

METHODS, SYSTEMS, ARTICLES OF MANUFACTURE AND APPARATUS TO ACCELERATE SERVICE EXECUTION

      
Numéro d'application 18545739
Statut En instance
Date de dépôt 2023-12-19
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kasichainula, Kishore
  • Wong, Kar Leong
  • Jayagopal, Nagaramya
  • Suryanarayana, Shravan

Abrégé

Systems, apparatus, articles of manufacture, and methods are disclosed to accelerate service execution. An example apparatus includes a system including first circuitry to initialize during a boot time period, and at least one of audio circuitry and networking circuitry to complete initialization and perform a service before expiration of the boot time period.

Classes IPC  ?

91.

DRIVER TO PROVIDE CONFIGURABLE ACCESSES TO A DEVICE

      
Numéro d'application 18545767
Statut En instance
Date de dépôt 2023-12-19
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Scott, Kevin C.
  • Penner, Miles

Abrégé

Examples described herein relate to utilizing a bus driver to present a peripheral device comprising a single physical function to a host operating system (OS) as a plurality of peripheral devices, associating the plurality of presented peripheral devices with a corresponding plurality of physical Ethernet ports; and enabling the host OS to interact with the plurality of peripheral devices. In some examples, the number of the plurality of peripheral devices correlates to the number of physical Ethernet ports associated with the peripheral device.

Classes IPC  ?

  • G06F 13/42 - Protocole de transfert pour bus, p.ex. liaison; Synchronisation

92.

SYSTEMS, METHODS AND DEVICES FOR DETERMINING WORK PLACEMENT ON PROCESSOR CORES

      
Numéro d'application 18545912
Statut En instance
Date de dépôt 2023-12-19
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Therien, Guy M.
  • Powell, Michael D.
  • Ramani, Venkatesh
  • Biswas, Arijit
  • Sotomayor, Guy G.

Abrégé

Apparatuses, methods and storage medium for computing including determination of work placement on processor cores are disclosed herein. In embodiments, an apparatus may include one or more processors, devices, and/or circuitry to identify a favored core of the processor cores. The one or more processors, devices, and/or circuitry may be configured to determine whether to migrate a thread to or from the favored core. In some embodiments, the determination may be by a process executed by a driver and/or by an algorithm executed by a power control unit of the processor.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 1/324 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par réduction de la fréquence d’horloge
  • G06F 1/329 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par planification de tâches
  • G06F 1/3296 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par diminution de la tension d’alimentation ou de la tension de fonctionnement
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

93.

PHOTONICALLY STEERED IMPEDANCE SURFACE ANTENNAS

      
Numéro d'application 17957752
Statut En instance
Date de dépôt 2022-09-30
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Zhou, Zhen
  • Yang, Tae Young
  • Huusari, Timo
  • Liu, Renzhi
  • Qian, Wei
  • Huang, Mengyuan
  • Mix, Jason

Abrégé

Photonically steered impedance surface antennas are disclosed. A disclosed example apparatus includes a semiconductor substrate to be communicatively coupled to a radio frequency (RF) source, an at least partially transparent dielectric layer, the semiconductor substrate at a first side of the at least partially transparent dielectric layer, an at least partially transparent conductive film at a second side of the at least partially transparent dielectric layer that is opposite the first side of the at least partially transparent dielectric layer, and an illumination source to illuminate at least a portion of the semiconductor substrate to generate a photoinduced solid-state plasma pattern that beam steers an RF signal corresponding to the RF source.

Classes IPC  ?

  • H01Q 3/26 - Dispositifs pour changer ou faire varier l'orientation ou la forme du diagramme de directivité des ondes rayonnées par une antenne ou un système d'antenne faisant varier la distribution de l’énergie à travers une ouverture rayonnante
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/66 - Adaptations pour la haute fréquence

94.

DATA PLANE FOR NG CELLULAR NETWORKS

      
Numéro d'application 18538737
Statut En instance
Date de dépôt 2023-12-13
Date de la première publication 2024-04-11
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Ding, Zongrui
  • Li, Qian
  • Stojanovski, Alexandre Saso
  • Luetzenkirchen, Thomas
  • Kolekar, Abhijeet Ashok
  • Palat, Sudeep K.
  • Heo, Youn Hyoung
  • Bangolae, Sangeetha L.
  • Tong, Xiaopeng

Abrégé

An apparatus, method, and system are described for data transfer between a user equipment (UE) and Data Storage Function (DSF) in a 6G system. The data transfer occurs via a control and/or user plane using a data ID and data filter defined using data ID, metadata, data source, and labeling. User plane data transfer is based on a protocol data unit (PDU) or a standalone data session. The DSF provides data services by service application programming interfaces (APIs). A Service Infrastructure Control Function (SICF) configures routing policies to an evolved Service Communication Proxy User Plane (eSCP-U) to route data inquiries to the correct DSF using a service mesh.

Classes IPC  ?

  • H04W 60/04 - Rattachement à un réseau, p.ex. enregistrement; Suppression du rattachement à un réseau, p.ex. annulation de l'enregistrement utilisant des événements déclenchés
  • H04W 12/06 - Authentification
  • H04W 48/18 - Sélection d'un réseau ou d'un service de télécommunications
  • H04W 76/20 - Gestion de connexions établies

95.

APPARATUS AND METHOD TO IMPLEMENT SHARED VIRTUAL MEMORY IN A TRUSTED ZONE

      
Numéro d'application 18283205
Statut En instance
Date de dépôt 2021-03-26
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Guo, Kaijie
  • Wang, Junyuan
  • Lukoshkov, Maksim
  • Li, Weigang
  • Zeng, Xin

Abrégé

An apparatus and method to implement shared virtual memory in a trust zone. For example, one embodiment of a processor comprises: a plurality of cores; a memory controller coupled to the plurality of cores to establish a first private memory region in a system memory using a first key associated with a first trust domain of a first guest; an input/output memory management unit (IOMMU) coupled to the memory controller, the IOMMU to receive a memory access request by an input/output (IO) device, the memory access request comprising a first address space identifier and a guest virtual address (GVA), the IOMMU to access an entry in a first translation table using at least the first address space identifier to determine that the memory access request is directed to the first private memory region which is not directly accessible to the IOMMU, the IOMMU to generate an address translation request associated with the memory access request, wherein based on the address translation request, a virtual machine monitor (VMM) running on one or more of the plurality of cores is to initiate a secure transaction sequence with trust domain manager to cause a secure entry into the first trust domain to translate the GVA to a physical address based on the address space identifier, the IOMMU to receive the physical address from the VMM and to use the physical address to perform the requested memory access on behalf of the IO device.

Classes IPC  ?

  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation

96.

PACKAGE ARCHITECTURE WITH INTERCONNECT MIGRATION BARRIERS

      
Numéro d'application 17938784
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Ecton, Jeremy
  • Marin, Brandon C.
  • Nad, Suddhasattwa
  • Pietambaram, Srinivas V.
  • Rahman, Mohammad Mamunur

Abrégé

Embodiments of a microelectronic assembly includes: a package substrate and an integrated circuit (IC) die coupled to a surface of the package substrate by first interconnects and second interconnects, the first interconnects and the second interconnects comprising solder. The first interconnects are larger than the second interconnects, the first interconnects and the second interconnects further comprise bumps on the IC die and bond-pads on the surface of the package substrate, with the solder coupled to the bumps and the bond-pads, lateral sides of the bumps have a coating of a material that prevents solder wicking, and the surface of the package substrate includes insulative baffles between the bond-pads.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

97.

MULTI-PHASE SIGNAL GENERATION SCHEME AND METHOD THEREOF

      
Numéro d'application 17956835
Statut En instance
Date de dépôt 2022-09-30
Date de la première publication 2024-04-11
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Degani, Ofir
  • Levinger, Run
  • Ravi, Ashoke

Abrégé

The present disclosure relates to a signal generator including: a plurality of interpolators, each interpolator being configured to: receive a first input signal having a first phase, and a second input signal having a second phase; generate a plurality of interpolated signals based on a plurality of interpolations of the input signals, each interpolated signal having a respective phase based on the respective interpolation, and combine the interpolated signals to provide an output signal; the plurality of interpolators including: a first plurality of interpolators, each interpolator being configured to receive as input signals a first reference signal and a second reference signal; and a second plurality of interpolators, each interpolator being configured to receive as first input signal an output signal from an interpolator of the first plurality of interpolators and as second input signal another output signal from another interpolator of the first plurality of interpolators.

Classes IPC  ?

  • H03L 7/099 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase concernant principalement l'oscillateur commandé de la boucle

98.

DATA COLLECTION COORDINATION FUNCTION AND NETWORK DATA ANALYTICS FUNCTION FRAMEWORK FOR SENSING SERVICES IN NEXT GENERATION CELLULAR NETWORKS

      
Numéro d'application US2023075158
Numéro de publication 2024/076852
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-11
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Ding, Zongrui
  • Li, Qian
  • Kedalagudde, Meghashree Dattatri
  • Stojanovski, Alexandre Saso
  • Hamidi-Sepehr, Fatemeh
  • Hewavithana, Thushara
  • Luetzenkirchen, Thomas
  • Kolekar, Abhijeet
  • Palat, Sudeep
  • Heo, Youn Hyoung
  • Bangolae, Sangeetha

Abrégé

This disclosure describes systems, methods, and devices related to sensing service coordination. A device may discover a Network Data Analytics Function (NWDAF) via a Network Function Repository Function (NRF). The device may send an Analytics request or subscribe to the selected NWDAF with a criteria based on a sensing data analytics ID, event ID, and event parameters. The device may select a Data Collection Coordination Function (DCCF) instance when DCCF is used for data collection, based on DCCF Serving Area Information. The device may receive sensing data or data analytics from the NWDAF after NWDAF has processed the data collected from DCCF.

Classes IPC  ?

  • H04W 24/02 - Dispositions pour optimiser l'état de fonctionnement
  • H04L 41/14 - Analyse ou conception de réseau

99.

METHODS AND ARRANGEMENTS FOR NETWORK-BASED SENSING

      
Numéro d'application US2023034243
Numéro de publication 2024/076513
Statut Délivré - en vigueur
Date de dépôt 2023-09-30
Date de publication 2024-04-11
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Stojanovski, Alexandre Saso
  • Ding, Zongrui
  • Hamidi-Sepehr, Fatemeh
  • Li, Qian
  • Luetzenkirchen, Thomas
  • Palat, Sudeep
  • Kolekar, Abhijeet
  • Hewavithana, Thushara

Abrégé

Logic may parse an application function (AF) request from an AF, the AF request comprising a first set of parameters, the first set of parameters comprising a first geographical area and a sensing type. Logic may identify a radio access network (RAN) node based on the first geographical area. Logic may send a sensing request to the RAN node, the sensing request comprising a second set of parameters to identify sensing information, the second set of parameters comprising a second geographical area and a sensing type. Logic may receive a sensing result from the RAN node based on the second set of parameters. And logic may process the sensing result based on the AF request to determine a sensing report; and send, to the AF, the sensing report via the network interface.

Classes IPC  ?

  • H04W 24/08 - Réalisation de tests en trafic réel
  • H04W 24/10 - Planification des comptes-rendus de mesures
  • H04W 4/38 - Services spécialement adaptés à des environnements, à des situations ou à des fins spécifiques pour la collecte d’informations de capteurs
  • H04W 64/00 - Localisation d'utilisateurs ou de terminaux pour la gestion du réseau, p.ex. gestion de la mobilité
  • G01W 1/14 - Pluviomètres ou udomètres
  • G01N 15/00 - Recherche de caractéristiques de particules; Recherche de la perméabilité, du volume des pores ou de l'aire superficielle effective de matériaux poreux

100.

APPARATUS, SYSTEM AND METHOD OF CONCURRENT MULTIPLE BAND (CMB) WIRELESS COMMUNICATION

      
Numéro d'application 18344719
Statut En instance
Date de dépôt 2023-06-29
Date de la première publication 2024-04-11
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Cohn, Daniel
  • Birnbaum, David
  • Reshef, Ehud
  • Hareuveni, Ofer
  • Chay, Dor

Abrégé

For example, a wireless communication device may be configured to determine a Concurrent Multiple Band (CMB) routing scheme based on Quality of Service (QoS) requirement information and network condition information, the CMB routing scheme to route a plurality of application streams to a plurality of radios of the wireless communication device for wireless communication over a plurality of wireless communication bands, the plurality of application streams corresponding to one or more applications to be executed by the wireless communication device; and to route the plurality of application streams to the plurality of radios by determining, based on the CMB routing scheme, to which radio of the plurality of radios to route the application stream of the plurality of application streams.

Classes IPC  ?

  • H04W 28/02 - Gestion du trafic, p.ex. régulation de flux ou d'encombrement
  • H04W 40/12 - Sélection d'itinéraire ou de voie de communication, p.ex. routage basé sur l'énergie disponible ou le chemin le plus court sur la base de la qualité d'émission ou de la qualité des canaux
  1     2     3     ...     100        Prochaine page