Applied Materials, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 18 519 pour Applied Materials, Inc. et 10 filiales Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 17 871
        Marque 648
Juridiction
        États-Unis 10 049
        International 8 412
        Europe 30
        Canada 28
Propriétaire / Filiale
[Owner] Applied Materials, Inc. 16 574
Varian Semiconductor Equipment Associates, Inc. 1 282
Applied Materials Israel, Ltd. 548
Applied Materials Italia S.R.L. 82
Applied Materials GmbH & Co. KG 35
Voir plus
Date
Nouveautés (dernières 4 semaines) 184
2024 avril (MACJ) 106
2024 mars 148
2024 février 149
2024 janvier 128
Voir plus
Classe IPC
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 3 076
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 2 508
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 2 340
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 1 411
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension 1 229
Voir plus
Classe NICE
07 - Machines et machines-outils 344
09 - Appareils et instruments scientifiques et électriques 325
37 - Services de construction; extraction minière; installation et réparation 66
42 - Services scientifiques, technologiques et industriels, recherche et conception 49
40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau 41
Voir plus
Statut
En Instance 2 238
Enregistré / En vigueur 16 281
  1     2     3     ...     100        Prochaine page

1.

COMPENSATION FOR SLURRY COMPOSITION IN IN-SITU ELECTROMAGNETIC INDUCTIVE MONITORING

      
Numéro d'application 18240587
Statut En instance
Date de dépôt 2023-08-31
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Kun
  • Siordia, Andrew

Abrégé

A method of chemical mechanical polishing includes bringing a conductive layer of a substrate into contact with a polishing pad, supplying a polishing liquid to the polishing pad, generating relative motion between the substrate and the polishing pad, monitoring the substrate with an in-situ electromagnetic induction monitoring system as the conductive layer is polished to generate a sequence of signal values that depend on a thickness of the conductive layer, and determining a sequence of thickness values for the conductive layer based on the sequence of signal values. Determining the sequence of thickness values includes at least partially compensating for a contribution of the polishing liquid to the signal values.

Classes IPC  ?

  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 37/04 - Machines ou dispositifs de rodage; Accessoires conçus pour travailler les surfaces planes
  • B24B 37/10 - Machines ou dispositifs de rodage; Accessoires conçus pour travailler les surfaces planes caractérisés par le déplacement de la pièce ou de l'outil de rodage pour un rodage simple face
  • G01B 7/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques électriques ou magnétiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur

2.

FILTER ISOLATION FOR EQUIPMENT FRONT END MODULE

      
Numéro d'application 18046290
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Hansen, James Christopher
  • Tindel, Steven Trey
  • Reuter, Paul B.

Abrégé

Disclosed herein are systems and methods for reducing startup time of an equipment front end module (EFEM). The EFEM may include an EFEM chamber formed between a plurality of walls, an upper plenum above the EFEM chamber, the upper plenum in fluid communication with the EFEM chamber, a plurality of ducts that provide a return gas flow path enabling recirculation of gas from the EFEM chamber to the upper plenum, one or more filters that separate the upper plenum from the EFEM chamber, an isolation gate configured to block the return gas flow path responsive to the isolation gate being actuated to a closed position to isolate the one or more filters from an ambient environment responsive to a gas being flowed through the upper plenum when the EFEM chamber is opened to the ambient environment.

Classes IPC  ?

  • F24F 3/163 - Postes de travail en air pur, c. à d. zones sélectionnées à l'intérieur d'une enceinte dans lesquelles de l’air filtré est acheminé

3.

METHODS FOR FORMING DRAM DEVICES WITHOUT TRENCH FILL VOIDS

      
Numéro d'application 18481163
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gu, Sipeng
  • Hong, Liang
  • Lu, Jun-Feng

Abrégé

Disclosed herein are approaches for forming dynamic DRAM devices without trench fill voids. A method may include providing a plurality of trenches in a substrate, the plurality of trenches defining a plurality of device structures, and depositing a plurality of layers over the device structures. The layers may include a first layer over the device structures, a second layer over the first layer, and a third layer over the second layer. The method may further include forming a plurality of contact trenches through the plurality of layers to expose one or more device structures of the plurality of device structures, and directing ions into a sidewall of the trenches at a non-zero angle, wherein the ions impact the third layer without impacting the second layer. The method may further include forming a fill material within the trenches after the ions are directed into the sidewall of the trenches.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]

4.

SCALING FOR DIE-LAST ADVANCED IC PACKAGING

      
Numéro d'application 18484016
Statut En instance
Date de dépôt 2023-10-10
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Chen, Jang Fung
  • Laidig, Thomas L.
  • Kang, Chung-Shin
  • Tsai, Chi-Ming
  • Shen, Wei-Ning

Abrégé

Embodiments of the present disclosure relate to a system, a software application, and methods of digital lithography for semiconductor packaging. The method includes comparing positions of vias and via locations, generating position data based on the comparing the positions of vias and the via locations, providing the position data of the vias to a digital lithography device, updating a redistributed metal layer (RDL) mask pattern according to the position data such that RDL locations correspond to the positions of the vias, and projecting the RDL mask pattern with the digital lithography device.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

5.

SACRIFICIAL SOURCE/DRAIN FOR METALLIC SOURCE/DRAIN HORIZONTAL GATE ALL AROUND ARCHITECTURE

      
Numéro d'application 18378850
Statut En instance
Date de dépôt 2023-10-11
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Breil, Nicolas
  • Lee, Byeong Chan

Abrégé

Semiconductor devices and methods of manufacturing the same are described. The method includes forming a source region and a drain region adjacent to a superlattice structure on a substrate. The source region and the drain region comprise a metallic silicide material. In some embodiments, a sacrificial material is first deposited and then removed to form a metallic silicide material in the source and drain region.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

6.

FREEFORM OPTICAL SUBSTRATES IN WAVEGUIDE DISPLAYS

      
Numéro d'application 18398409
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Sell, David Alexander
  • Bhargava, Samarth

Abrégé

Embodiments of the present disclosure generally relate to methods of forming a substrate having a target thickness distribution at one or more eyepiece areas across a substrate. The substrate includes eyepiece areas corresponding to areas where optical device eyepieces are to be formed on the substrate. Each eyepiece area includes a target thickness distribution. A base substrate thickness distribution of a base substrate is measured such that a target thickness change can be determined. The methods described herein are utilized along with the target thickness change to form a substrate with the target thickness distribution.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G02B 25/00 - Oculaires; Loupes

7.

APPARATUS DESIGN FOR FILM REMOVAL FROM THE BEVEL AND EDGE OF THE SUBSTRATE

      
Numéro d'application 18233760
Statut En instance
Date de dépôt 2023-08-14
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Houshmand, Farzad
  • Chan, Kelvin
  • Hao, Ruiying
  • French, Wayne

Abrégé

Embodiments disclosed herein include a semiconductor processing tool. In an embodiment, the semiconductor processing tool comprises a pedestal, an annular separator over the pedestal to define a first domain within the annular separator and a second domain outside of the annular separator, a first gas inlet within the annular separator, and a second gas inlet outside of the annular separator.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

8.

ION IMPLANTATION TO MODIFY GLASS LOCALLY FOR OPTICAL DEVICES

      
Numéro d'application 18537504
Statut En instance
Date de dépôt 2023-12-12
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pi, Nai-Wen
  • Fu, Jinxin
  • Luo, Kang
  • Godet, Ludovic

Abrégé

Embodiments described herein provide for optical devices with methods of forming optical device substrates having at least one area of increased refractive index or scratch resistance. One method includes disposing an etch material on a discrete area of an optical device substrate or an optical device layer, disposing a diffusion material in the discrete area, and removing excess diffusion material to form an optical material in the optical device substrate or the optical device layer having a refractive index greater than or equal to 2.0 or a hardness greater than or equal to 5.5 Mohs.

Classes IPC  ?

  • C23C 14/48 - Implantation d'ions
  • C03C 23/00 - Autres traitements de surface du verre, autre que sous forme de fibres ou de filaments
  • C23C 14/00 - Revêtement par évaporation sous vide, pulvérisation cathodique ou implantation d'ions du matériau composant le revêtement
  • C23C 14/02 - Pré-traitement du matériau à revêtir
  • C23C 14/58 - Post-traitement

9.

APPARATUS AND METHOD FOR LASER MACHINING OF A SUBSTRATE

      
Numéro d'application 18278447
Statut En instance
Date de dépôt 2021-03-10
Date de la première publication 2024-04-18
Propriétaire APPLIED MATERIALS ITALIA S.R.L. (Italie)
Inventeur(s)
  • Franklin, Jeffrey L.
  • Furin, Valentina
  • Cellere, Giorgio
  • Verhaverbeke, Steven
  • Leschkies, Kurtis
  • Chen, Han-Wen
  • Giback, Park

Abrégé

An apparatus for hole drilling in a substrate is provided. The apparatus includes a laser system configured to apply a laser beam onto the substrate for removing material from a set of areas on the substrate by directing the laser beam onto predefined positions corresponding to the set of areas on the substrate in a sequence. The apparatus includes a ventilation system configured to produce a fluid flow along one or more sides of the substrate. The apparatus controls the laser beam such that the laser beam is sequentially positioned according to a first laser beam movement direction and a second laser beam movement direction.

Classes IPC  ?

  • B23K 26/38 - Enlèvement de matière par perçage ou découpage

10.

COST EFFECTIVE RADIO FREQUENCY IMPEDANCE MATCHING NETWORKS

      
Numéro d'application US2022054317
Numéro de publication 2024/081015
Statut Délivré - en vigueur
Date de dépôt 2022-12-30
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Guo, Yue
  • Ramaswamy, Kartik
  • Moghadam, Farhad
  • Yang, Yang

Abrégé

Embodiments provided herein generally include apparatus and methods in a plasma processing system for rapid and inexpensive repair and replacement of RF sensors necessary for the operation of radio frequency (RF) power generation and impedance matching equipment used for generating a plasma in a plasma chamber during semiconductor processing therein. Flexible communications between equipment of the plasma processing system allows sharing of process information and equipment settings for batch processing of a plurality of semiconductor wafers during the manufacturing process. Operational settings of a master plasma processing system may be used to control the operation of a plurality of slave processing systems. In addition, the operational settings of the master plasma processing system may be recorded and reused for controlling the plurality of slave processing systems.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/40 - Adaptation automatique de l'impédance de charge à l'impédance de la source

11.

APPARATUS DESIGN FOR FILM REMOVAL FROM THE BEVEL AND EDGE OF THE SUBSTRATE

      
Numéro d'application US2023032396
Numéro de publication 2024/081085
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Houshmand, Farzad
  • Chan, Kelvin
  • Hao, Ruiying
  • French, Waye

Abrégé

Embodiments disclosed herein include a semiconductor processing tool. In an embodiment, the semiconductor processing tool comprises a pedestal, an annular separator over the pedestal to define a first domain within the annular separator and a second domain outside of the annular separator, a first gas inlet within the annular separator, and a second gas inlet outside of the annular separator.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

12.

INDUCTIVELY COUPLED PLASMA APPARATUS WITH NOVEL FARADAY SHIELD

      
Numéro d'application US2023076603
Numéro de publication 2024/081735
Statut Délivré - en vigueur
Date de dépôt 2023-10-11
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Biloiu, Costel
  • Calkins, Adam
  • Alexandrovich, Benjamin
  • Basame, Solomon Belangedi
  • Daniels, Kevin M.

Abrégé

An antenna assembly, comprising: an antenna; a dielectric enclosure surrounding the antenna; and a Faraday shield, disposed around the antenna, and arranged between the antenna and the dielectric enclosure, wherein the Faraday shield comprises a non-uniform opacity along an antenna axis of the antenna, wherein a first opacity of the Faraday shield at a first position along the antenna axis is greater than a second opacity of the Faraday shield at a second position along the antenna axis of the antenna.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

13.

ISOTROPIC SILICON NITRIDE REMOVAL

      
Numéro d'application US2023075316
Numéro de publication 2024/081509
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Korolik, Mikhail
  • Gee, Paul E.
  • Yong, Wei Ying Doreen
  • Koh, Tuck Foong
  • Sudijono, John
  • Kraus, Philip A.
  • Chua, Thai Cheng

Abrégé

Exemplary methods of etching a silicon-containing material may include flowing a first fluorine-containing precursor into a remote plasma region of a semiconductor processing chamber. The methods may include flowing a sulfur-containing precursor into the remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma within the remote plasma region to generate plasma effluents of the first fluorine-containing precursor and the sulfur-containing precursor. The methods may include flowing the plasma effluents into a processing region of the semiconductor processing chamber. A substrate may be positioned within the processing region. The substrate may include a trench formed through stacked layers including alternating layers of silicon nitride and silicon oxide. The methods may include isotropically etching the layers of silicon nitride while substantially maintaining the silicon oxide.

Classes IPC  ?

14.

BIMETALLIC FACEPLATE FOR SUBSTRATE PROCESSING

      
Numéro d'application US2023032461
Numéro de publication 2024/081087
Statut Délivré - en vigueur
Date de dépôt 2023-09-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Shrivastava, Gaurav
  • Harapanhalli, Pavankumar Ramanand
  • Gondhalekar, Sudhir R.
  • Yang, Yao-Hung
  • Chang, Chih-Yang

Abrégé

A bimetallic faceplate for substrate processing is provided including a plate having a plurality of gas distribution holes and formed of a first metal having a first coefficient of thermal expansion, the plate having at least one groove around a center of the plate and spaced from the center of the plate; and a metallic element disposed in the at least one groove and fixed to the plate in the at least one groove, the metallic element having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the metallic element being symmetrically arranged on or in the plate. A chamber for substrate processing is provided that includes a bimetallic faceplate. Also, a method of making a bimetallic faceplate is provided.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

15.

RAPID THERMAL PROCESSING (RTP) CHAMBER OUTGASSING REMOVAL

      
Numéro d'application US2023032925
Numéro de publication 2024/081097
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Aderhold, Wolfgang

Abrégé

Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

16.

PARTICLE REDUCTION IN PHYSICAL VAPOR DEPOSITION OF AMORPHOUS SILICON

      
Numéro d'application US2023034799
Numéro de publication 2024/081221
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Fang, Peijiao
  • Li, Mingdong
  • Liu, Chengyu

Abrégé

Methods for depositing amorphous silicon films via physical vapor deposition processes are disclosed. In some embodiments, a method of depositing amorphous silicon in a physical vapor deposition (PVD) process chamber includes (a) depositing an amorphous silicon layer atop a surface of a substrate disposed on a substrate support via a physical vapor deposition process, in the meanwhile amorphous silicon is also deposited atop components within the PVD process chamber; and depositing a glue layer atop the amorphous silicon deposited on the components. The glue layer can be a silicon compound. The silicon compound can be a compound of silicon with one or more of carbon, nitrogen, or oxygen. In some embodiments, the silicon compound is SiC, Si N, SiO, SiCN, or SiON.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

17.

IN-LINE MONITORING OF OLED LAYER THICKNESS AND DOPANT CONCENTRATION

      
Numéro d'application 18395081
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tung, Yeishin
  • Kwak, Byung Sung
  • Visser, Robert Jan
  • Zhao, Guoheng
  • Egan, Todd J.
  • Kabra, Dinesh
  • Banappanavar, Gangadhar

Abrégé

An organic light-emitting diode (OLED) deposition system includes two deposition chambers, a transfer chamber between the two deposition chambers, a metrology system having one or more sensors to perform measurements of the workpiece within the transfer chamber, and a control system to cause the system to form an organic light-emitting diode layer stack on the workpiece. Vacuum is maintained around the workpiece while the workpiece is transferred between the two deposition chambers and while retaining the workpiece within the transfer chamber. The control system is configured to cause the two deposition chambers to deposit two layers of organic material onto the workpiece, and to receive a first plurality of measurements of the workpiece in the transfer chamber from the metrology system.

Classes IPC  ?

  • H10K 71/00 - Fabrication ou traitement spécialement adaptés aux dispositifs organiques couverts par la présente sous-classe
  • C23C 14/12 - Composé organique
  • C23C 14/24 - Evaporation sous vide
  • C23C 14/50 - Porte-substrat
  • C23C 14/54 - Commande ou régulation du processus de revêtement
  • C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche
  • H10K 71/16 - Dépôt d'une matière active organique en utilisant un dépôt physique en phase vapeur [PVD], p. ex. un dépôt sous vide ou une pulvérisation cathodique
  • H10K 71/70 - Tests, p. ex. tests de durée de vie accélérée

18.

METHODS AND PRECURSOR FORMULATIONS FOR FORMING ADVANCED POLISHING PADS BY USE OF AN ADDITIVE MANUFACTURING PROCESS

      
Numéro d'application 18212285
Statut En instance
Date de dépôt 2023-06-21
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ganapathiappan, Sivapackia
  • Fu, Boyi
  • Chockalingam, Ashwin
  • Redfield, Daniel
  • Bajaj, Rajeev
  • Orilall, Mahendra C.
  • Ng, Hou T.
  • Fung, Jason G.
  • Yamamura, Mayu

Abrégé

Embodiments of the present disclosure relate to advanced polishing pads with tunable chemical, material and structural properties, and methods of manufacturing the same. According to one or more embodiments, a method for forming or otherwise preparing a polishing article by sequentially forming a plurality of polymer layers is provided and includes: (a) dispensing a plurality of droplets of a polymer precursor composition onto a surface of a previously formed at least partially cured polymer layer, where the polymer precursor composition contains a first precursor component containing an epoxide group and a photoinitiator component which generates a photoacid when exposed to UV light, (b) at least partially curing the plurality of droplets to form an at least partially cured polymer layer, and (c) repeating (a) and (b).

Classes IPC  ?

  • B24B 37/24 - Tampons de rodage pour travailler les surfaces planes caractérisés par la composition ou les propriétés des matériaux du tampon
  • B24B 37/22 - Tampons de rodage pour travailler les surfaces planes caractérisés par une structure multicouche
  • B24B 37/26 - Tampons de rodage pour travailler les surfaces planes caractérisés par la forme ou le profil de la surface du tampon de rodage, p.ex. rainurée
  • B24D 3/28 - Propriétés physiques des corps ou feuilles abrasives, p.ex. surfaces abrasives de nature particulière; Corps ou feuilles abrasives caractérisés par leurs constituants les constituants étant utilisés comme agglomérants et étant essentiellement organiques en résines
  • B24D 11/00 - Caractéristiques de construction des matériaux abrasifs flexibles; Caractéristiques particulières de la fabrication de ces matériaux
  • B24D 11/04 - Surfaces à dureté variable
  • B29C 35/08 - Chauffage ou durcissement, p.ex. réticulation ou vulcanisation utilisant l'énergie ondulatoire ou un rayonnement corpusculaire
  • B29C 64/112 - Procédés de fabrication additive n’utilisant que des matériaux liquides ou visqueux, p.ex. dépôt d’un cordon continu de matériau visqueux utilisant des gouttelettes individuelles, p.ex. de buses de jet
  • B33Y 10/00 - Procédés de fabrication additive
  • B33Y 70/00 - Matériaux spécialement adaptés à la fabrication additive
  • B33Y 80/00 - Produits obtenus par fabrication additive
  • C09D 4/00 - Compositions de revêtement, p.ex. peintures, vernis ou vernis-laques, à base de composés non macromoléculaires organiques ayant au moins une liaison non saturée carbone-carbone polymérisable
  • C09G 1/16 - Autres compositions de produits à polir à base substances non cireuses à base de résines naturelles ou synthétiques

19.

RAPID THERMAL PROCESSING (RTP) CHAMBER OUTGASSING REMOVAL

      
Numéro d'application 18238891
Statut En instance
Date de dépôt 2023-08-28
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Aderhold, Wolfgang

Abrégé

Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.

Classes IPC  ?

  • B08B 13/00 - Accessoires ou parties constitutives, d'utilisation générale, des machines ou appareils de nettoyage
  • B08B 5/00 - Nettoyage par des procédés impliquant l'utilisation d'un courant d'air ou de gaz
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

20.

INTEGRATED OPTICAL SENSOR CONTROLLER FOR DEVICEMANUFACTURING MACHINES

      
Numéro d'application 18398723
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Shang, Kiyki-Shiy
  • Taraboukhine, Mikhail
  • Kode, Venkata Raghavaiah Chowdhary

Abrégé

Implementations disclosed describe an integrated sensor controller comprising a sensor circuit and a logic circuit. The sensor circuit includes a light source driver to generate a driving signal, a demultiplexer to produce, using the driving signal, a plurality of output driving signals to be delivered to one of a plurality of sensors, and an amplifier to: receive a first signal from a first sensor, the first signal being associated with a first event representative of a position of a substrate within a device manufacturing machine, and generate a second signal. The sensor circuit further includes an analog-to-digital converter to receive the second signal and generate a third signal. The logic circuit includes a memory device and a processing device coupled to the memory device, the processing device to obtain based on the third signal, information about the position of the substrate.

Classes IPC  ?

  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • B25J 9/16 - Commandes à programme
  • B25J 11/00 - Manipulateurs non prévus ailleurs
  • B25J 13/08 - Commandes pour manipulateurs au moyens de dispositifs sensibles, p.ex. à la vue ou au toucher
  • G01B 11/00 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques
  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes

21.

MULTI-STEP PROCESS FOR FLOWABLE GAP-FILL FILM

      
Numéro d'application 18392534
Statut En instance
Date de dépôt 2023-12-21
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Clemons, Maximillian
  • Bekiaris, Nikolaos
  • Nemani, Srinivas D.

Abrégé

Generally, examples described herein relate to methods and processing systems for performing multiple processes in a same processing chamber on a flowable gap-fill film deposited on a substrate. In an example, a semiconductor processing system includes a processing chamber and a system controller. The system controller includes a processor and memory. The memory stores instructions, that when executed by the processor cause the system controller to: control a first process within the processing chamber performed on a substrate having thereon a film deposited by a flowable process, and control a second process within the process chamber performed on the substrate having thereon the film. The first process includes stabilizing bonds in the film to form a stabilized film. The second process includes densifying the stabilized film.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

22.

TOTAL OR LOCAL THICKNESS VARIATION FOR OPTICAL DEVICES

      
Numéro d'application 18381604
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Luo, Yingdong
  • Yao, Zhengping
  • Zhang, Daihua
  • Sell, David Alexander
  • Yang, Jingyi
  • Deng, Xiaopei
  • Messer, Kevin
  • Bhargava, Samarth
  • Hourani, Rami
  • Godet, Ludovic

Abrégé

Embodiments of the present disclosure generally relate to methods for forming a waveguide. Methods may include measuring a waveguide substrate, the waveguide having a substrate thickness distribution; and depositing an index-matched layer onto a surface of the waveguide, the index-matched layer having a first surface disposed on the waveguide substrate and a second surface opposing the first surface, wherein the index-matched layer is disposed only over a portion of the waveguide substrate, and a device slope of a second surface of the index-matched layer is substantially the same as the waveguide slope of the first surface of the waveguide.

Classes IPC  ?

  • G02B 6/122 - Elements optiques de base, p.ex. voies de guidage de la lumière
  • G02B 6/12 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré
  • G02B 6/124 - Lentilles géodésiques ou réseaux intégrés
  • G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
  • G02B 27/00 - Systèmes ou appareils optiques non prévus dans aucun des groupes ,

23.

DRY ETCHING WITH ETCH BYPRODUCT SELF-CLEANING

      
Numéro d'application 18221063
Statut En instance
Date de dépôt 2023-07-12
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Yao, Zhonghua
  • Fu, Qian
  • Saly, Mark J.
  • Yang, Yang
  • Anthis, Jeffrey W.
  • Knapp, David
  • Sathiyanarayanan, Rajesh

Abrégé

A method includes providing, within an etch chamber, a base structure including a target layer disposed on a substrate, and an etch mask disposed on the target layer, dry etching, within the etch chamber, the target layer using thionyl chloride to obtain a processed base structure, and after forming the plurality of features. The processed base structure includes a plurality of features and a plurality of openings defined by the etch mask. The method further includes removing the processed base structure from the etch chamber. In some embodiments, the target layer includes carbon. In some embodiments, the dry etching is performed at a sub-zero degree temperature.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

24.

METHOD TO MEASURE LIGHT LOSS OF OPTICAL FILMS AND OPTICAL SUBSTRATES

      
Numéro d'application 18397977
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Fu, Jinxin
  • Luo, Kang
  • Hayee, Fariah
  • Godet, Ludovic

Abrégé

A method of optical device metrology is provided. The method includes introducing a first type of light into a first optical device during a first time period, the first optical device including an optical substrate and an optical film disposed on the optical substrate, the first optical device further including a first surface, a second surface, and one or more sides connecting the first surface with the second surface; and measuring, during the first time period, a quantity of the first type of light transmitted from a plurality of locations on the first surface or the second surface during the first time period, wherein the measuring is performed by a detector coupled to one or more fiber heads positioned to collect the light transmitted from the plurality of locations.

Classes IPC  ?

25.

ENDPOINT OPTIMIZATION FOR SEMICONDUCTOR PROCESSES

      
Numéro d'application 17966634
Statut En instance
Date de dépôt 2022-10-14
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Vaxman, Avishay
  • Zhang, Qintao
  • Koch, Jeffrey P.
  • Surdock, David P.
  • Swart, Wayne R.
  • Lee, David J.
  • Hong, Samphy
  • Vincent Eddy, Aldrin Bernard
  • Deyo, Daniel G.

Abrégé

A camera may capture reflected light from the surface of the wafer during a semiconductor process that adds or removes material from the wafer, such as an etch process. To accurately determine an endpoint for the process, a camera sampling rate and light source intensity may be optimized in the process recipe. Optimizing the light source intensity may include characterizing light intensities that will be reflected from the waiver using an image of the wafer. Pixel intensities may be used to adjust the light source intensity to compensate for more complex wafer patterns. Optimizing the camera sampling rates may include nondestructively rotating a view of the wafer and converting the sampled intensities to the frequency domain. The camera sampling rate may be increased or decreased to remove spatial noise from the image without oversampling unnecessarily. These optimized parameters may then generate a clean, repeatable trace for endpoint determination.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06T 7/00 - Analyse d'image
  • G06T 7/80 - Analyse des images capturées pour déterminer les paramètres de caméra intrinsèques ou extrinsèques, c. à d. étalonnage de caméra
  • H04N 5/225 - Caméras de télévision
  • H04N 5/232 - Dispositifs pour la commande des caméras de télévision, p.ex. commande à distance

26.

METHODS AND SYSTEMS FOR A SPECTRAL LIBRARY AT A MANUFACTURING SYSTEM

      
Numéro d'application 18485009
Statut En instance
Date de dépôt 2023-10-11
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tsai, Hsinyi
  • Li, Thomas
  • Zhu, Zhaozhao
  • Kutney, Michael
  • Ummethala, Upendra V.

Abrégé

Spectral data associated with one or more regions of a surface of a substrate is identified. The substrate has been processed according to one or more first operations of a process recipe that is unknown to a system controller for the manufacturing system. The spectral data is provided as input to a machine learning model that is trained to predict, based on given spectral data, a respective process recipe associated with the substrate and one or more operations of the respective process recipe that have already been performed. A determination is made, based on one or more outputs of the machine learning model, that the substrate is associated with the process recipe and that one or more second operations are yet to be performed. The substrate is caused to be processed according to the one or more second operations of the process recipe.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

27.

INDUCTIVELY COUPLED PLASMA APPARATUS WITH NOVEL FARADAY SHIELD

      
Numéro d'application 17964621
Statut En instance
Date de dépôt 2022-10-12
Date de la première publication 2024-04-18
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Biloiu, Costel
  • Calkins, Adam
  • Alexandrovich, Benjamin
  • Basame, Solomon Belangedi
  • Daniels, Kevin M.

Abrégé

An antenna assembly, comprising: an antenna; a dielectric enclosure surrounding the antenna; and a Faraday shield, disposed around the antenna, and arranged between the antenna and the dielectric enclosure, wherein the Faraday shield comprises a non-uniform opacity along an antenna axis of the antenna, wherein a first opacity of the Faraday shield at a first position along the antenna axis is greater than a second opacity of the Faraday shield at a second position along the antenna axis of the antenna.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes

28.

FILTER ISOLATION FOR EQUIPMENT FRONT END MODULE

      
Numéro d'application US2023034847
Numéro de publication 2024/081253
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Hansen, James Christopher
  • Tindel, Steven Trey
  • Reuter, Paul B.

Abrégé

Disclosed herein are systems and methods for reducing startup time of an equipment front end module (EFEM). The EFEM may include an EFEM chamber formed between a plurality of walls, an upper plenum above the EFEM chamber, the upper plenum in fluid communication with the EFEM chamber, a plurality of ducts that provide a return gas flow path enabling recirculation of gas from the EFEM chamber to the upper plenum, one or more filters that separate the upper plenum from the EFEM chamber, an isolation gate configured to block the return gas flow path responsive to the isolation gate being actuated to a closed position to isolate the one or more filters from an ambient environment responsive to a gas being flowed through the upper plenum when the EFEM chamber is opened to the ambient environment.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

29.

DETERMINING SUBSTRATE PROFILE PROPERTIES USING MACHINE LEARNING

      
Numéro d'application US2023076657
Numéro de publication 2024/081764
Statut Délivré - en vigueur
Date de dépôt 2023-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Li, Thomas
  • Ummethala, Upendra V.
  • Erickson, Blake
  • Kumar, Prashanth
  • Kutney, Michael
  • Tindel, Steven Trey
  • Zhu, Zhaozhao

Abrégé

Spectral data associated with a first prior substrate and/or a second prior substrate is obtained. A metrology measurement value associated with the first portion of the first prior substrate is determined based on one or more metrology measurement values measured for at least one of a second portion of the first prior substrate or a third portion of a second prior substrate. Training data for training a machine learning model to predict metrology measurement values of a current substrate is generated. Generating the training data includes generating a first training input including the spectral data associated with the first prior substrate and generating a first target output for the first training input, the first target output including the determined metrology measurement value associated with the first portion of the first prior substrate. The training data is provided to train the machine learning model.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

30.

METHODS FOR FORMING DRAM DEVICES WITHOUT TRENCH FILL VOIDS

      
Numéro d'application CN2022124946
Numéro de publication 2024/077525
Statut Délivré - en vigueur
Date de dépôt 2022-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gu, Sipeng
  • Hong, Liang

Abrégé

Disclosed herein are approaches for forming dynamic DRAM devices without trench fill voids. A method may include providing a plurality of trenches in a substrate, the plurality of trenches defining a plurality of device structures, and depositing a plurality of layers over the device structures. The layers may include a first layer over the device structures, a second layer over the first layer, and a third layer over the second layer. The method may further include forming a plurality of contact trenches through the plurality of layers to expose one or more device structures of the plurality of device structures, and directing ions into a sidewall of the trenches at a non-zero angle, wherein the ions impact the third layer without impacting the second layer. The method may further include forming a fill material within the trenches after the ions are directed into the sidewall of the trenches.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]
  • C23C 14/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques

31.

METHODS AND SYSTEMS FOR A SPECTRAL LIBRARY AT A MANUFACTURING SYSTEM

      
Numéro d'application US2023076738
Numéro de publication 2024/081815
Statut Délivré - en vigueur
Date de dépôt 2023-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tsai, Hsinyi
  • Li, Thomas
  • Zhu, Zhaozhao
  • Kutney, Michael
  • Ummethala, Upendra V.

Abrégé

Spectral data associated with one or more regions of a surface of a substrate is identified. The substrate has been processed according to one or more first operations of a process recipe that is unknown to a system controller for the manufacturing system. The spectral data is provided as input to a machine learning model that is trained to predict, based on given spectral data, a respective process recipe associated with the substrate and one or more operations of the respective process recipe that have already been performed. A determination is made, based on one or more outputs of the machine learning model, that the substrate is associated with the process recipe and that one or more second operations are yet to be performed. The substrate is caused to be processed according to the one or more second operations of the process recipe.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • G05B 13/04 - Systèmes de commande adaptatifs, c. à d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques impliquant l'usage de modèles ou de simulateurs
  • G06N 20/00 - Apprentissage automatique

32.

METHODS AND APPARATUS FOR COOLING A SUBSTRATE SUPPORT

      
Numéro d'application US2023034786
Numéro de publication 2024/081210
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Decottignies, Robert Irwin
  • Fish, Roger Bradford
  • Szudarski, Steven
  • Kintner, Shane Lawrence

Abrégé

Methods and apparatus for processing a substrate are provided herein. For example, an apparatus for processing a substrate comprises a process chamber configured to process a substrate, a substrate support comprising a heat sink configured to cool the substrate support during operation and a water trap panel comprising a pumping ring configured to cool the water trap panel such that the water trap panel condenses water vapor molecules and drops a process chamber pressure during operation, and a chiller operably coupled to the substrate support and configured to supply a cooling fluid to the substrate support via a cooling fluid line that connects to the heat sink and the pumping ring via a serial configuration or a parallel configuration.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 14/50 - Porte-substrat

33.

DRY ETCHING WITH ETCH BYPRODUCT SELF-CLEANING

      
Numéro d'application US2023034749
Numéro de publication 2024/081194
Statut Délivré - en vigueur
Date de dépôt 2023-10-09
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Yao, Zhonghua
  • Fu, Qian
  • Saly, Mark J.
  • Yang, Yang
  • Anthis, Jeffrey W.
  • Knapp, David
  • Sathiyanarayanan, Rajesh

Abrégé

A method includes providing, within an etch chamber, a base structure including a target layer disposed on a substrate, and an etch mask disposed on the target layer, dry etching, within the etch chamber, the target layer using thionyl chloride to obtain a processed base structure, and after forming the plurality of features. The processed base structure includes a plurality of features and a plurality of openings defined by the etch mask. The method further includes removing the processed base structure from the etch chamber. In some embodiments, the target layer includes carbon. In some embodiments, the dry etching is performed at a subzero degree temperature.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

34.

GROWTH MONITOR SYSTEM AND METHODS FOR FILM DEPOSITION

      
Numéro d'application 18538996
Statut En instance
Date de dépôt 2023-12-13
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cong, Zhepeng
  • Baghbanzadeh, Mostafa
  • Sheng, Tao
  • Choo, Enle

Abrégé

The present disclosure generally relates to process chambers for semiconductor processing. In one embodiment, a growth monitor for substrate processing is provided. The growth monitor includes a sensor holder and a crystal disposed in the sensor holder having a front side and a back side. An opening is formed in the sensor holder exposing a front side of the crystal. A gas inlet is disposed through the sensor holder to a plenum formed by the back side of the crystal and the sensor holder. A gas outlet is fluidly coupled to the plenum.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • G01B 17/02 - Dispositions pour la mesure caractérisées par l'utilisation de vibrations infrasonores, sonores ou ultrasonores pour mesurer l'épaisseur

35.

SWITCHING CONTROL ALGORITHMS ON DETECTION OF EXPOSURE OF UNDERLYING LAYER DURING POLISHING

      
Numéro d'application 18542093
Statut En instance
Date de dépôt 2023-12-15
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Kun
  • Lee, Harry Q.
  • Cherian, Benjamin
  • Gage, David Maxwell

Abrégé

A method of controlling polishing includes polishing a stack of adjacent conductive layers on a substrate, measuring with an in-situ eddy current monitoring system a sequence of characterizing values for the substrate during polishing, calculating a polishing rate from the sequence of characterizing values repeatedly during polishing, calculating one or more adjustments for one or more polishing parameters based on a current polishing rate using a first control algorithm for an initial time period, detecting a change in the polishing rate that indicates exposure of the underlying conductive layer, and calculating one or more adjustments for one or more polishing parameters based on the polishing rate using a different second control algorithm for a subsequent time period after detecting the change in the polishing rate.

Classes IPC  ?

  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 37/013 - Dispositifs ou moyens pour détecter la fin de l'opération de rodage
  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
  • H01L 21/321 - Post-traitement

36.

DIELECTRIC ON DIELECTRIC SELECTIVE DEPOSITION USING ANILINE PASSIVATION

      
Numéro d'application 17960979
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2024-04-11
Propriétaire
  • Applied Materials, Inc. (USA)
  • Regents of the University of California (USA)
Inventeur(s)
  • Wong, Keith T.
  • Nemani, Srinivas D.
  • Yieh, Ellie Y.
  • Kummel, Andrew C.
  • Cho, Yunil
  • Huang, James

Abrégé

A method includes forming a conductive material on a first dielectric layer, exposing the conductive material to aniline to produce a passivated surface of the conductive material, and after exposing the conductive material to aniline, forming a second dielectric layer on the first dielectric layer using a deposition process. The deposition process is a water-free and plasma-free deposition process, and the second dielectric layer does not form on the passivated surface of the conductive material.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

37.

CARBON REPLENISHMENT OF SILICON-CONTAINING MATERIAL

      
Numéro d'application 17960569
Statut En instance
Date de dépôt 2022-10-05
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Venkataraman, Shankar
  • Shen, Zeqing
  • Singha Roy, Susmit
  • Mallick, Abhijit Basu
  • Kalutarage, Lakmal C.
  • Seo, Jongbeom
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian

Abrégé

Exemplary methods of semiconductor processing may include etching a portion of a silicon-containing material from a substrate disposed within a processing region of a semiconductor processing chamber. The silicon-containing material may extend into one or more recesses defined by alternating layers of material deposited on the substrate. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting a remaining silicon-containing material with the carbon-containing precursor. The contacting with the carbon-containing precursor may replenish carbon in the silicon-containing material. The methods may include providing a cleaning agent to the processing region of the semiconductor processing chamber. The methods may include contacting the substrate with the cleaning agent. The contacting with the cleaning precursor may remove surface oxide from the substrate.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 29/66 - Types de dispositifs semi-conducteurs

38.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Numéro d'application 17961553
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abrégé

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

39.

BOTTOM CONTACT FORMATION FOR 4F2 VERTICAL DRAM

      
Numéro d'application 17963555
Statut En instance
Date de dépôt 2022-10-11
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gu, Sipeng
  • Zhang, Qintao
  • Shim, Kyu-Ha

Abrégé

Disclosed herein are approaches for forming contacts in a 4F2 vertical dynamic random-access memory device. One method includes providing a plurality of fins extending from a substrate, forming a spacer layer over the plurality of fins, and etching the substrate to expose a base portion of the plurality of fins. The method may include forming a doped layer along the base portion of the plurality of fins and along an upper surface of the substrate, and forming an oxide spacer over the doped layer.

Classes IPC  ?

  • H01L 27/108 - Structures de mémoires dynamiques à accès aléatoire
  • H01L 29/66 - Types de dispositifs semi-conducteurs

40.

IN-SITU ELECTRIC FIELD DETECTION METHOD AND APPARATUS

      
Numéro d'application US2022054313
Numéro de publication 2024/076357
Statut Délivré - en vigueur
Date de dépôt 2022-12-30
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Guo, Yue
  • Yang, Yang
  • Ramaswamy, Kartik
  • Silveira, Fernando
  • Azad, A N M Wasekul

Abrégé

Embodiments of the disclosure include an electric field measurement system that includes a first light source, a first light sensor configured to receive electromagnetic energy transmitted from the first light source, an electro-optic sensor, and a controller. The electro-optic sensor may include a package comprising a first electro-optic crystal disposed within a body; and at least one optical fiber. The optical fiber is configured to transmit electromagnetic energy transmitted from the first light source to a surface of the first electro-optic crystal, and transmit at least a portion of the electromagnetic energy transmitted to the surface of the first electro-optic crystal and subsequently passed through at least a portion of the first electro-optic crystal to the first light sensor that is configured to generate a signal based on an attribute of the electromagnetic energy received by the first light sensor from the at least one optical fiber. The controller is configured to generate a command signal based on a signal received from the first light sensor.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

41.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Numéro d'application US2023017115
Numéro de publication 2024/076386
Statut Délivré - en vigueur
Date de dépôt 2023-03-31
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abrégé

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

42.

CHAMBERS AND RELATED METHODS AND STRUCTURES FOR BATCH COOLING OR HEATING

      
Numéro d'application US2023017802
Numéro de publication 2024/076390
Statut Délivré - en vigueur
Date de dépôt 2023-04-06
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Moradian, Ala

Abrégé

The present disclosure relates to chambers and related methods and structures for batch cooling or heating. In one implementation, a chamber applicable for use in semiconductor manufacturing includes a base, a lid, and one or more sidewalls between the base and the lid. The base, the lid, and the one or more sidewalls at least partially define an internal volume. The chamber includes a cassette disposed in the internal volume. The cassette includes a first outer plate, a second outer plate spaced from the first outer plate, and a plurality of levels between the first outer plate and the second outer plate. The plurality of levels include a plurality of substrate supports spaced from each other between the first outer plate and the second outer plate. The chamber includes one or more baffles disposed outwardly of the cassette.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés

43.

DIELECTRIC-ON-DIELECTRIC SELECTIVE DEPOSITION USING ANILINE PASSIVATION

      
Numéro d'application US2023034396
Numéro de publication 2024/076587
Statut Délivré - en vigueur
Date de dépôt 2023-10-03
Date de publication 2024-04-11
Propriétaire
  • APPLIED MATERIALS, INC. (USA)
  • THE REGENTS OF THE UNIVERSITY OF CALIFORNIA (USA)
Inventeur(s)
  • Wong, Keith T.
  • Nemani, Srinivas D.
  • Yieh, Ellie Y.
  • Kummel, Andrew C.
  • Cho, Yunil
  • Huang, James

Abrégé

A method includes forming a conductive material on a first dielectric layer, exposing the conductive material to aniline to produce a passivated surface of the conductive material, and after exposing the conductive material to aniline, forming a second dielectric layer on the first dielectric layer using a deposition process. The deposition process is a water-free and plasma-free deposition process, and the second dielectric layer does not form on the passivated surface of the conductive material.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C23C 16/40 - Oxydes
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/34 - Nitrures
  • C23C 16/02 - Pré-traitement du matériau à revêtir

44.

BIPOLAR ELECTROSTATIC CHUCK FOR ETCH CHAMBER

      
Numéro d'application US2023034695
Numéro de publication 2024/076767
Statut Délivré - en vigueur
Date de dépôt 2023-10-06
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Oki, Shinichi
  • Aoki, Yuji
  • Byregowda Shivalingaiah, Trishul

Abrégé

Embodiments of bipolar electrostatic chucks are provided herein. In some embodiments, a bipolar electrostatic chuck includes a ceramic plate; a plurality of electrodes disposed in the ceramic plate, wherein the plurality of electrodes include one or more positive electrodes arranged in a first pattern and one or more negative electrodes arranged in a second pattern; an aluminum base plate coupled to the ceramic plate; a positive conduit extending through the aluminum base plate and electrically coupled to the one or more positive electrodes, and a negative conduit extending through the aluminum base plate and electrically coupled to the one or more negative electrodes; and a first insulative tube disposed about each of the positive conduit and the negative conduit.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

45.

CARBON REPLENISHMENT OF SILICON-CONTAINING MATERIAL

      
Numéro d'application US2023075315
Numéro de publication 2024/076860
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Venkataraman, Shankar
  • Shen, Zeqing
  • Roy, Susmit Singha
  • Mallick, Abhijit Basu
  • Kalutarage, Lakmal C.
  • Seo, Jongbeom
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian

Abrégé

Exemplary methods of semiconductor processing may include etching a portion of a silicon-containing material from a substrate disposed within a processing region of a semiconductor processing chamber. The silicon-containing material may extend into one or more recesses defined by alternating layers of material deposited on the substrate. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting a remaining silicon-containing material with the carbon-containing precursor. The contacting with the carbon-containing precursor may replenish carbon in the silicon-containing material. The methods may include providing a cleaning agent to the processing region of the semiconductor processing chamber. The methods may include contacting the substrate with the cleaning agent. The contacting with the cleaning precursor may remove surface oxide from the substrate.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/26 - Bombardement par des radiations ondulatoires ou corpusculaires
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

46.

METHODS AND APPARATUS FOR RUTHENIUM OXIDE REDUCTION ON EXTREME ULTRAVIOLET PHOTOMASKS

      
Numéro d'application 18276760
Statut En instance
Date de dépôt 2022-02-08
Date de la première publication 2024-04-11
Propriétaire APPLIED MATERALS, INC. (USA)
Inventeur(s)
  • Wu, Banqiu
  • Makhamreh, Khalid
  • Dagan, Eliyahu Shlomo

Abrégé

Methods and apparatus for reducing ruthenium oxide on an extreme ultraviolet (EUV) photomask leverage temperature, plasma, and chamber pressure to increase the reduction. In some embodiments, a method includes heating the EUV photomask with a ruthenium (Ru) capping layer with a top surface which has a Ru oxide layer to a temperature of approximately 100 degrees Celsius to approximately a thermal budget of the EUV photomask, flowing a reducing agent gas into an EUV photomask processing chamber, and pressurizing the EUV photomask processing chamber to a process pressure to increase a reducing reaction between the reducing agent gas and a Ru oxide layer on the Ru capping layer. Other embodiments may incorporate remote plasma generators or atmospheric-pressure plasma generators to enhance the reduction of Ru oxides on the Ru capping layer.

Classes IPC  ?

  • G03F 1/24 - Masques en réflexion; Leur préparation
  • G03F 1/74 - Réparation ou correction des défauts dans un masque par un faisceau de particules chargées [CPB charged particle beam], p.ex. réparation ou correction de défauts par un faisceau d'ions focalisé

47.

EVAPORATION APPARATUS, VAPOR DEPOSITION APPARATUS, AND EVAPORATION METHOD

      
Numéro d'application 18542356
Statut En instance
Date de dépôt 2023-12-15
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Buschbeck, Wolfgang
  • Bangert, Stefan

Abrégé

An evaporation apparatus is described, particularly for evaporating a reactive material such as lithium. The evaporation apparatus includes an evaporation crucible for evaporating a liquid material, a material conduit for supplying the liquid material to the evaporation crucible, and a valve configured to close the material conduit by solidifying a part of the liquid material in the material conduit with a cooling device. The valve may include a cooling gas supply for a cooling gas, and the cooling device may be configured to cool the liquid material with the cooling gas. Further described are a vapor deposition apparatus for coating a substrate as well as an evaporation method.

Classes IPC  ?

  • C23C 14/24 - Evaporation sous vide
  • C23C 14/14 - Matériau métallique, bore ou silicium
  • C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche

48.

HIGH RESOLUTION ADVANCED OLED SUB-PIXEL CIRCUIT AND PATTERNING METHOD

      
Numéro d'application 18545709
Statut En instance
Date de dépôt 2023-12-19
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lee, Jungmin
  • Chen, Chung-Chia
  • Choung, Ji Young
  • Lin, Yu-Hsin

Abrégé

Embodiments described herein relate to a sub-pixel. The sub-pixel includes an anode, overhang structures, separation structures, an organic light emitting diode (OLED) material, and a cathode. The anode is defined by adjacent first pixel isolation structures (PIS) and adjacent second PIS. The overhang structures are disposed on the first PIS. The overhang structures include a second structure disposed over the first structure and an intermediate structure disposed between the second structure and the first structure. A bottom surface of the second structure extends laterally past an upper surface of the first structure. The first structure is disposed over the first PIS. Separation structures are disposed over the second PIS. The OLED material is disposed over the anode and an upper surface of the separation structures. The cathode disposed over the OLED material and an upper surface of the separation structures.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/12 - Affichages à OLED à matrice active [AMOLED]
  • H10K 59/80 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément organique émetteur de lumière couvert par le groupe - Détails de structure

49.

HIGH-K DIELECTRIC MATERIALS COMPRISING ZIRCONIUM OXIDE UTILIZED IN DISPLAY DEVICES

      
Numéro d'application 18545810
Statut En instance
Date de dépôt 2023-12-19
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Rui, Xiangxin
  • Zhao, Lai
  • Chen, Jrjyan Jerry
  • Choi, Soo Young
  • Zhai, Yujia

Abrégé

Embodiments of the disclosure generally provide methods of forming a capacitor layer or a gate insulating layer with high dielectric constant as well as low film current leakage and desired film qualities for display applications. In one embodiment, a thin film transistor structure includes a dielectric layer formed on a substrate, wherein the dielectric layer is a zirconium containing material comprising aluminum, and gate, source and drain electrodes formed on the substrate, wherein the gate, source and drain electrodes formed above or below the dielectric layer.

Classes IPC  ?

  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • H01L 29/24 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des matériaux semi-conducteurs inorganiques non couverts par les groupes , ,  ou
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/786 - Transistors à couche mince

50.

LOAD LOCK CHAMBERS AND RELATED METHODS AND STRUCTURES FOR BATCH COOLING OR HEATING

      
Numéro d'application 17961214
Statut En instance
Date de dépôt 2022-10-06
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Moradian, Ala

Abrégé

The present disclosure relates to chambers and related methods and structures for batch cooling or heating. In one implementation, a chamber applicable for use in semiconductor manufacturing includes a base, a lid, and one or more sidewalls between the base and the lid. The base, the lid, and the one or more sidewalls at least partially define an internal volume. The chamber includes a cassette disposed in the internal volume. The cassette includes a first outer plate, a second outer plate spaced from the first outer plate, and a plurality of levels between the first outer plate and the second outer plate. The plurality of levels include a plurality of substrate supports spaced from each other between the first outer plate and the second outer plate. The chamber includes one or more baffles disposed outwardly of the cassette.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/52 - Commande ou régulation du processus de dépôt

51.

IN-SITU ELECTRIC FIELD DETECTION METHOD AND APPARATUS

      
Numéro d'application 17960666
Statut En instance
Date de dépôt 2022-10-05
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Guo, Yue
  • Yang, Yang
  • Ramaswamy, Kartik
  • Silveira, Fernando
  • Azad, A N M Wasekul

Abrégé

Embodiments of the disclosure include an electric field measurement system that includes a first light source, a first light sensor configured to receive electromagnetic energy transmitted from the first light source, an electro-optic sensor, and a controller. The electro-optic sensor may include a package comprising a first electro-optic crystal disposed within a body; and at least one optical fiber. The optical fiber is configured to transmit electromagnetic energy transmitted from the first light source to a surface of the first electro-optic crystal, and transmit at least a portion of the electromagnetic energy transmitted to the surface of the first electro-optic crystal and subsequently passed through at least a portion of the first electro-optic crystal to the first light sensor that is configured to generate a signal based on an attribute of the electromagnetic energy received by the first light sensor from the at least one optical fiber. The controller is configured to generate a command signal based on a signal received from the first light sensor.

Classes IPC  ?

  • G01R 29/08 - Mesure des caractéristiques du champ électromagnétique

52.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Numéro d'application 17962310
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abrégé

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 14/02 - Pré-traitement du matériau à revêtir
  • C23C 14/46 - Pulvérisation cathodique par un faisceau d'ions produit par une source d'ions externe
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

53.

ISOTROPIC SILICON NITRIDE REMOVAL

      
Numéro d'application 17963687
Statut En instance
Date de dépôt 2022-10-11
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Korolik, Mikhail
  • Gee, Paul E.
  • Yong, Wei Ying Doreen
  • Koh, Tuck Foong
  • Sudijono, John
  • Kraus, Philip A.
  • Chua, Thai Cheng

Abrégé

Exemplary methods of etching a silicon-containing material may include flowing a first fluorine-containing precursor into a remote plasma region of a semiconductor processing chamber. The methods may include flowing a sulfur-containing precursor into the remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma within the remote plasma region to generate plasma effluents of the first fluorine-containing precursor and the sulfur-containing precursor. The methods may include flowing the plasma effluents into a processing region of the semiconductor processing chamber. A substrate may be positioned within the processing region. The substrate may include a trench formed through stacked layers including alternating layers of silicon nitride and silicon oxide. The methods may include isotropically etching the layers of silicon nitride while substantially maintaining the silicon oxide.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

54.

ATOMIC LAYER DEPOSITION COATING SYSTEM FOR INNER WALLS OF GAS LINES

      
Numéro d'application 17962378
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Panavalappil Kumarankutty, Hanish Kumar
  • Tomar, Yogesh
  • Patil, Nikshep M.
  • Rajendran, Hari Venkatesh
  • Shanmugam, Kirubanandan Naina
  • Natu, Gayatri
  • Arcot, Mahesh
  • Nattamai Subramanian, Senthil Kumar
  • Marcus, Steven D.
  • Rice, Michael R.

Abrégé

Embodiments of an apparatus for coating a plurality of gas lines are provided herein. In some embodiments, an apparatus for coating a plurality of gas lines via an ALD process includes: an oven having an enclosure that defines an interior volume configured to house the plurality of gas lines, the enclosure having a door configured for transferring the plurality of gas lines into and out of the interior volume; a plurality of inlet ports disposed through a first wall of the enclosure; a plurality of exhaust ports disposed through a second wall of the enclosure; a fluid panel disposed outside of the oven and coupled to the plurality of inlet ports via corresponding ones of a plurality of fluid distribution assemblies; and a foreline disposed outside of the oven and coupled to the plurality of exhaust ports.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

55.

BIPOLAR ELECTROSTATIC CHUCK FOR ETCH CHAMBER

      
Numéro d'application 17962410
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Oki, Shinichi
  • Aoki, Yuji
  • Byregowda Shivalingaiah, Trishul

Abrégé

Embodiments of bipolar electrostatic chucks are provided herein. In some embodiments, a bipolar electrostatic chuck includes a ceramic plate; a plurality of electrodes disposed in the ceramic plate, wherein the plurality of electrodes include one or more positive electrodes arranged in a first pattern and one or more negative electrodes arranged in a second pattern; an aluminum base plate coupled to the ceramic plate; a positive conduit extending through the aluminum base plate and electrically coupled to the one or more positive electrodes, and a negative conduit extending through the aluminum base plate and electrically coupled to the one or more negative electrodes; and a first insulative tube disposed about each of the positive conduit and the negative conduit.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

56.

COST EFFECTIVE RADIO FREQUENCY IMPEDANCE MATCHING NETWORKS

      
Numéro d'application 17963146
Statut En instance
Date de dépôt 2022-10-10
Date de la première publication 2024-04-11
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Guo, Yue
  • Ramaswamy, Kartik
  • Moghadam, Farhad
  • Yang, Yang

Abrégé

Embodiments provided herein generally include apparatus and methods in a plasma processing system for rapid and inexpensive repair and replacement of RF sensors necessary for the operation of radio frequency (RF) power generation and impedance matching equipment used for generating a plasma in a plasma chamber during semiconductor processing therein. Flexible communications between equipment of the plasma processing system allows sharing of process information and equipment settings for batch processing of a plurality of semiconductor wafers during the manufacturing process. Operational settings of a master plasma processing system may be used to control the operation of a plurality of slave processing systems. In addition, the operational settings of the master plasma processing system may be recorded and reused for controlling the plurality of slave processing systems.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/40 - Adaptation automatique de l'impédance de charge à l'impédance de la source

57.

MASK FOR A SUBSTRATE, SUBSTRATE SUPPORT, SUBSTRATE PROCESSING APPARATUS, METHOD FOR LAYER DEPOSITION ON A SUBSTRATE AND METHOD OF MANUFACTURING ONE OR MORE DEVICES

      
Numéro d'application EP2022077692
Numéro de publication 2024/074202
Statut Délivré - en vigueur
Date de dépôt 2022-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Jagadish, Avinash
  • Lau, Simon
  • Klein, Wolfgang
  • Hanika, Markus

Abrégé

A mask (100) for masking a rear of an edge of a substrate (10) is described. The mask comprises a frame (110) having an opening (111) for receiving the substrate, wherein the frame has a protrusion (112) provided at an inner side (110A) of the frame, the protrusion (112) extending towards the rear (10R) of the edge (10E) of the substrate (10).

Classes IPC  ?

  • C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche
  • C23C 14/50 - Porte-substrat
  • C23C 14/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches
  • H01L 21/443 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

58.

CASSETTE STRUCTURES AND RELATED METHODS FOR BATCH PROCESSING IN EPITAXIAL DEPOSITION OPERATIONS

      
Numéro d'application US2023017561
Numéro de publication 2024/076389
Statut Délivré - en vigueur
Date de dépôt 2023-04-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Shah, Kartik Bhupendra
  • Moradian, Ala

Abrégé

The present disclosure relates to cassette structures and related methods for batch processing in epitaxial deposition operations, In one implementation, a cassette configured for disposition in a substrate processing chamber includes a first wall, a second wall spaced from the first wall, and one or more sidewalls extending between and coupled to the first wall and the second wall. The cassette includes one or more inlet openings formed in the one or more sidewalls, and one or more outlet openings formed in the one or more sidewalls opposite the one or more inlet openings. The cassette includes a plurality of levels that include a plurality of substrate supports mounted to the one or more sidewalls and spaced from each other along the one or more sidewalls.

Classes IPC  ?

  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés

59.

MEMBRANE FAILURE DETECTION SYSTEM

      
Numéro d'application US2023030872
Numéro de publication 2024/076421
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhang, Chang
  • Chen, Jian J.
  • Truong, Quoc
  • Leighton, Jamie Stuart

Abrégé

A polishing system includes a pressure system, a substrate carrier including a membrane, a first sensor, and a control system. A first compartment of the membrane is fluidly coupled to the pressure system. The first sensor is configured to monitor the pressure system and produce a first output based on conditions detected in the pressure system. The control system coupled to the first sensor and configured to process the first output to produce a first processed output, and the control system configured to compare the first processed output to a threshold to detect a presence of a fluid in the pressure system.

Classes IPC  ?

  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
  • B24B 49/12 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs optiques
  • B24B 41/06 - Supports de pièces, p.ex. lunettes réglables

60.

METHODS FOR FABRICATION OF OPTICAL STRUCTURES ON PHOTONIC GLASS LAYER SUBSTRATES

      
Numéro d'application US2023033113
Numéro de publication 2024/076463
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abrégé

Embodiments described herein also relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto- electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co-packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

Classes IPC  ?

  • G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
  • G02B 6/122 - Elements optiques de base, p.ex. voies de guidage de la lumière
  • G02B 6/12 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré

61.

A PHOTONIC GLASS LAYER SUBSTRATE WITH EMBEDDED OPTICAL STRUCTURES FOR COMMUNICATING WITH AN ELECTRO OPTICAL INTEGRATED CIRCUIT

      
Numéro d'application US2023033205
Numéro de publication 2024/076466
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abrégé

Embodiments described herein relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto-electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co- packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

Classes IPC  ?

  • G02B 6/122 - Elements optiques de base, p.ex. voies de guidage de la lumière
  • G02B 6/42 - Couplage de guides de lumière avec des éléments opto-électroniques
  • G02B 6/12 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré

62.

LAMP AND WINDOW CONFIGURATIONS FOR SUBSTRATE PROCESSING CHAMBERS

      
Numéro d'application US2023034008
Numéro de publication 2024/076493
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Subbaraman, Venkateswaran
  • Dhamodharan, Raja Murali

Abrégé

The present disclosure relates to heat sources (e.g., lamps) and windows for processing chambers, and related methods. In one or more embodiments, a lamp applicable for use in semiconductor manufacturing includes a bulb tube extending along at least a segment of an arcuate profile. The bulb tube defines an arcuate central opening, The lamp includes a filament positioned in the arcuate central opening, The filament extends along at least the segment of the arcuate profile. The lamp includes a reflective coating formed on a first portion of an outer face of the bulb tube.

Classes IPC  ?

  • H05B 3/00 - Chauffage par résistance ohmique
  • C23C 16/48 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement par irradiation, p.ex. par photolyse, radiolyse ou rayonnement corpusculaire
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

63.

WEB COATING METHOD AND VENTED COOLING DRUM WITH INTEGRAL ELECTROSTATIC CLAMPING

      
Numéro d'application US2023034353
Numéro de publication 2024/076562
Statut Délivré - en vigueur
Date de dépôt 2023-10-03
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Parkhe, Vijay D.
  • Sivaramakrishnan, Visweswaren
  • Ishikawa, David Masayuki
  • Deppisch, Thomas

Abrégé

A rotatable drum is provided for supporting a substrate. The rotatable drum includes a curved drum surface for supporting the substrate. The curved drum surface includes a dielectric portion and an electrode coupled to a power source. The electrode is electrically coupled to the curved drum surface and capable of chucking and dechucking the substrate from the curved drum surface at one or more circumferential segments of the curved drum surface.

Classes IPC  ?

  • H01M 4/04 - Procédés de fabrication en général
  • H01M 4/134 - PROCÉDÉS OU MOYENS POUR LA CONVERSION DIRECTE DE L'ÉNERGIE CHIMIQUE EN ÉNERGIE ÉLECTRIQUE, p.ex. BATTERIES Électrodes Électrodes composées d'un ou comprenant un matériau actif Électrodes pour accumulateurs à électrolyte non aqueux, p.ex. pour accumulateurs au lithium; Leurs procédés de fabrication Électrodes à base de métaux, de Si ou d'alliages

64.

METHODS FOR CLEAN RATE IMPROVEMENT IN MULTI-RPSC PECVD SYSTEMS

      
Numéro d'application US2023034527
Numéro de publication 2024/076665
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pan, Yan Chi
  • Chen, Jrjyan Jerry
  • Yang, Lynn
  • Chang, Max
  • Furuta, Gaku
  • Chen, Vanness
  • Lau, Allen K.

Abrégé

Embodiments of the present disclosure generally relate to a method of cleaning a chemical vapor deposition chamber. The method includes commencing flow of a cleaning gas to a center remote plasma source (RPS) reactor in a processing chamber. The method includes commencing flow of the cleaning gas to four corner RPS reactors in the processing chamber. The method also includes flowing cleaning gas to the center RPS reactor and the four corner RPS reactors. The method further includes stopping flow of the cleaning gas to the center RPS reactor and stopping flow of the cleaning gas to the four corner RPS reactors.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

65.

ATOMIC LAYER DEPOSITION COATING SYSTEM FOR INNER WALLS OF GAS LINES

      
Numéro d'application US2023034587
Numéro de publication 2024/076702
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Panavalappil Kumarankutty, Hanish Kumar
  • Tomar, Yogesh
  • Patil, Nikshep M.
  • Rajendran, Hari Venkatesh
  • Shanmugam, Kirubanandan Naina
  • Natu, Gayatri
  • Arcot, Mahesh
  • Nattamai Subramanian, Senthil Kumar
  • Marcus, Steven D.
  • Rice, Michael R.

Abrégé

Embodiments of an apparatus for coating a plurality of gas lines are provided herein. In some embodiments, an apparatus for coating a plurality of gas lines via an ALD process includes: an oven having an enclosure that defines an interior volume configured to house the plurality of gas lines, the enclosure having a door configured for transferring the plurality of gas lines into and out of the interior volume; a plurality of inlet ports disposed through a first wall of the enclosure; a plurality of exhaust ports disposed through a second wall of the enclosure; a fluid panel disposed outside of the oven and coupled to the plurality of inlet ports via corresponding ones of a plurality of fluid distribution assemblies; and a foreline disposed outside of the oven and coupled to the plurality of exhaust ports.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

66.

JAVELIN

      
Numéro de série 98489034
Statut En instance
Date de dépôt 2024-04-08
Propriétaire Applied Materials, Inc. ()
Classes de Nice  ? 07 - Machines et machines-outils

Produits et services

Semiconductor wafer processing equipment used for implanting different materials into wafers

67.

SURFACE ROUGHNESS AND EMISSIVITY DETERMINATION

      
Numéro d'application 17936608
Statut En instance
Date de dépôt 2022-09-29
Date de la première publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ng, Eric Chin Hong
  • Egan, Todd J.
  • Vaez-Iravani, Mehdi

Abrégé

A system includes a radiation source configured to emit a radiation beam. The system further includes a first optical sensor configured to detect a first intensity of a first portion of the radiation beam reflected from a surface of an object. The system further includes a second optical sensor configured to detect a second intensity of a second portion of the radiation beam scattered by the surface of the object. The system further includes a processing device communicatively coupled to the first optical sensor and the second optical sensor. The processing device is configured to determine at least one of a roughness or an emissivity of the surface of the object based on a comparison of the first intensity and the second intensity.

Classes IPC  ?

  • G01B 11/30 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la rugosité ou l'irrégularité des surfaces
  • G01J 5/00 - Pyrométrie des radiations, p.ex. thermométrie infrarouge ou optique
  • G01J 5/0806 - Dispositions optiques Éléments de focalisation ou collimateurs, p.ex. lentilles ou miroirs concaves
  • G01J 5/0808 - Miroirs convexes

68.

DOG BONE EXHAUST SLIT TUNNEL FOR PROCESSING CHAMBERS

      
Numéro d'application 17955785
Statut En instance
Date de dépôt 2022-09-29
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Moradian, Ala
  • Cong, Zhepeng

Abrégé

A processing chamber is disclosed and includes a chamber body. The chamber body has a first side, a second side opposite the first side, a window assembly, and a base. The first and second side, the window assembly and the base define a thermal processing region. A flow assembly is disposed adjacent the first side and configured to introduce a processing gas into the thermal processing region. An exhaust slit assembly is disposed adjacent the second side. The exhaust slit assembly has an opening exposed to the thermal processing region. The opening having a center and an outer edge of the opening. The center of the opening and edge of the opening vertically defined between the window assembly and the base. Wherein an outer height at the edge of the opening is at least 30% larger in a vertical direction than a center height at the center of the opening.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

69.

PARTICLE ACCELERATOR HAVING NOVEL ELECTRODE CONFIGURATION FOR QUADRUPOLE FOCUSING

      
Numéro d'application 17956192
Statut En instance
Date de dépôt 2022-09-29
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tam, Wai-Ming
  • Sinclair, Frank

Abrégé

An apparatus may include a drift tube assembly, comprising a plurality of drift tubes to conduct an ion beam along a beam propagation direction. The plurality of drift tubes may define a multi-gap configuration corresponding to a plurality of acceleration gaps, wherein the plurality of drift tubes further define a plurality of RF quadrupoles, respectively. As such, the plurality of quadrupoles are arranged to defocus the ion beam along a first direction at the plurality of acceleration gaps, respectively, where the first direction extends perpendicularly to the beam propagation direction.

Classes IPC  ?

  • H05H 7/22 - TECHNIQUE DU PLASMA; PRODUCTION DE PARTICULES ÉLECTRIQUEMENT CHARGÉES ACCÉLÉRÉES OU DE NEUTRONS; PRODUCTION OU ACCÉLÉRATION DE FAISCEAUX MOLÉCULAIRES OU ATOMIQUES NEUTRES - Détails des dispositifs des types couverts par les groupes - Détails d'accélérateurs linéaires, p.ex. tubes de glissement
  • H05H 7/02 - Circuits ou systèmes d'alimentation en énergie haute fréquence
  • H05H 9/04 - Accélérateurs linéaires à ondes stationnaires

70.

HELICAL VOLTAGE STANDOFF

      
Numéro d'application 17957095
Statut En instance
Date de dépôt 2022-09-30
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gronski, Diana C.
  • Chen, Alicia
  • Chaney, Craig R.
  • Mclaughlin, Adam M.

Abrégé

An insulator that has a helical protrusion spiraling around the shaft is disclosed. A lip is disposed on the distal end of the helical protrusion, creating regions on the shaft that are shielded from material deposition by the lip. By proper sizing of the threads, the helical protrusion and the lip, the line-of-sight to the interior wall of the shaft can be greatly reduced. This results in longer times before failure. This insulator may be used in an ion implantation system to physically and electrically separate two components.

Classes IPC  ?

  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
  • H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions

71.

CASSETTE STRUCTURES AND RELATED METHODS FOR BATCH PROCESSING IN EPITAXIAL DEPOSITION OPERATIONS

      
Numéro d'application 17959189
Statut En instance
Date de dépôt 2022-10-03
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Shah, Kartik Bhupendra
  • Moradian, Ala

Abrégé

The present disclosure relates to cassette structures and related methods for batch processing in epitaxial deposition operations. In one implementation, a cassette configured for disposition in a substrate processing chamber includes a first wall, a second wall spaced from the first wall, and one or more sidewalls extending between and coupled to the first wall and the second wall. The cassette includes one or more inlet openings formed in the one or more sidewalls, and one or more outlet openings formed in the one or more sidewalls opposite the one or more inlet openings. The cassette includes a plurality of levels that include a plurality of substrate supports mounted to the one or more sidewalls and spaced from each other along the one or more sidewalls.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

72.

METHODS FOR FABRICATION OF OPTICAL STRUCTURES ON PHOTONIC GLASS LAYER SUBSTRATES

      
Numéro d'application 17959967
Statut En instance
Date de dépôt 2022-10-04
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abrégé

Embodiments described herein also relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto-electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co-packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-electrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

Classes IPC  ?

  • G02B 6/42 - Couplage de guides de lumière avec des éléments opto-électroniques
  • G02B 6/136 - Circuits optiques intégrés caractérisés par le procédé de fabrication par gravure

73.

WIRELESS DATA COMMUNICATION IN PLASMA PROCESS CHAMBER THROUGH VI SENSOR AND RF GENERATOR

      
Numéro d'application 18220020
Statut En instance
Date de dépôt 2023-07-10
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Lin, Chuang-Chia

Abrégé

Embodiments disclosed herein include a diagnostic substrate. In an embodiment, the diagnostic substrate comprises a substrate and a sensor on the substrate. In an embodiment, the diagnostic substrate further comprises a communication module on the substrate that is communicatively coupled to the sensor. In an embodiment, the communication module comprises an output antenna, a switch coupled to the output antenna, and a signal source coupled to the switch.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

74.

FLUID CONDUIT AND FLANGE FOR HIGH BIAS APPLICATIONS

      
Numéro d'application 18371641
Statut En instance
Date de dépôt 2023-09-22
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ravi, Sankaranarayanan
  • Garcia, Alvaro
  • Guzman, Martin Perez
  • Prouty, Stephen D.
  • Schmid, Andreas

Abrégé

A method and apparatus for cooling a semiconductor chamber are described herein. A semiconductor chamber component, includes a powered region, a grounded region, and a fluid conduit disposed within the semiconductor chamber component and passing through the powered region and grounded region, the fluid conduit comprising a ceramic material.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

75.

Selective Deposition of Thin Films with Improved Stability

      
Numéro d'application 18372792
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xiong, Lulu
  • Hsiao, Kevin
  • Liu, Chris
  • Lo, Chieh-Wen
  • Seutter, Sean M.
  • Padhi, Deenesh
  • Lianto, Prayudi
  • Suo, Peng
  • See, Guan Huei
  • Wang, Zongbin
  • Zeng, Shengwei
  • Ramasamy, Balamurugan

Abrégé

A method of processing a substrate is disclosed which includes depositing a layer in a processing chamber on a field region, a sidewall region, and a fill region of a feature of the substrate, wherein a hardness of a portion of the layer deposited on the sidewall region is lower than a hardness of a portion of the layer deposited on the field region, and lower than a hardness of a portion of the layer deposited on the fill region.

Classes IPC  ?

  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/32 - Carbures
  • C23C 16/56 - Post-traitement
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

76.

LARGE DIAMETER POROUS PLUG FOR ARGON DELIVERY

      
Numéro d'application 18372811
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gnanaprakasa, Tony Jefferson
  • Garcia, Alvaro
  • Guzman, Martin Perez
  • Prouty, Stephen Donald

Abrégé

The disclosure relates to a substrate support assembly for reducing the evacuation time when using argon gas. In one embodiment, a substrate support assembly includes a porous plug within the substrate support assembly. The porous plug includes a first cylindrical section with a first volume and axial length, a second cylindrical section with a second volume and axial length. The first cylindrical section has a larger volume than the second cylindrical section. The first cylindrical section and second cylindrical section have a volume ratio between about 2 and about 12. The first cylindrical section axial length and second cylindrical section axial length have a length ratio between about 2 and about 10.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

77.

HIGH RESOLUTION ADVANCED OLED SUB-PIXEL CIRCUIT AND PATTERNING METHOD

      
Numéro d'application 18528888
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lee, Jungmin
  • Chen, Chung-Chia
  • Choung, Ji Young
  • Lin, Yu-Hsin

Abrégé

Embodiments described herein relate to a sub-pixel. The sub-pixel includes an anode, overhang structures, separation structures, an organic light emitting diode (OLED) material, and a cathode. The anode is defined by adjacent first pixel isolation structures (PIS) and adjacent second PIS. The overhang structures are disposed on the first PIS. The overhang structures include a second structure disposed over the first structure and an intermediate structure disposed between the second structure and the first structure. A bottom surface of the second structure extends laterally past an upper surface of the first structure. The first structure is disposed over the first PIS. Separation structures are disposed over the second PIS. The OLED material is disposed over the anode and an upper surface of the separation structures. The cathode disposed over the OLED material and an upper surface of the separation structures.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/12 - Affichages à OLED à matrice active [AMOLED]
  • H10K 59/80 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément organique émetteur de lumière couvert par le groupe - Détails de structure

78.

THERMAL PROCESSING SUSCEPTOR

      
Numéro d'application 18539507
Statut En instance
Date de dépôt 2023-12-14
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ngo, Anhthu
  • Zhu, Zuoming
  • Ramachandran, Balasubramanian
  • Brillhart, Paul
  • Tong, Edric
  • Chang, Anzhong
  • Lo, Kin Pong
  • Shah, Kartik
  • Chu, Schubert S.
  • Cong, Zhepeng
  • Mack, James Francis
  • Myo, Nyi O.
  • Bautista, Kevin Joseph
  • Li, Xuebin
  • Huang, Yi-Chiau
  • Ye, Zhiyuan

Abrégé

In one embodiment, a susceptor for thermal processing is provided. The susceptor includes an outer rim surrounding and coupled to an inner dish, the outer rim having an inner edge and an outer edge. The susceptor further includes one or more structures for reducing a contacting surface area between a substrate and the susceptor when the substrate is supported by the susceptor. At least one of the one or more structures is coupled to the inner dish proximate the inner edge of the outer rim.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • B05C 13/00 - Moyens pour manipuler ou tenir des objets, p.ex. des objets individuels
  • B05C 13/02 - Moyens pour manipuler ou tenir des objets, p.ex. des objets individuels pour des objets particuliers
  • C30B 25/12 - Porte-substrat ou supports
  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés

79.

DOG BONE EXHAUST SLIT TUNNEL FOR PROCESSING CHAMBERS

      
Numéro d'application US2023017157
Numéro de publication 2024/072485
Statut Délivré - en vigueur
Date de dépôt 2023-03-31
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Moradian, Ala
  • Cong, Zhepeng

Abrégé

A processing chamber is disclosed and includes a chamber body. The chamber body has a first side, a second side opposite the first side, a window assembly, and a base. The first and second side, the window assembly and the base define a thermal processing region. A flow assembly is disposed adjacent the first side and configured to introduce a processing gas into the thermal processing region. An exhaust slit assembly is disposed adjacent the second side. The exhaust slit assembly has an opening exposed to the thermal processing region. The opening having a center and an outer edge of the opening. The center of the opening and edge of the opening vertically defined between the window assembly and the base. Wherein an outer height at the edge of the opening is at least 30% larger in a vertical direction than a center height at the center of the opening.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

80.

WIRELESS DATA COMMUNICATION IN PLASMA PROCESS CHAMBER THROUGH VI SENSOR AND RF GENERATOR

      
Numéro d'application US2023031566
Numéro de publication 2024/072601
Statut Délivré - en vigueur
Date de dépôt 2023-08-30
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Lin, Chuang-Chia

Abrégé

Embodiments disclosed herein include a diagnostic substrate. In an embodiment, the diagnostic substrate comprises a substrate and a sensor on the substrate. In an embodiment, the diagnostic substrate further comprises a communication module on the substrate that is communicatively coupled to the sensor. In an embodiment, the communication module comprises an output antenna, a switch coupled to the output antenna, and a signal source coupled to the switch.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

81.

PROTECTIVE GAS FLOW DURING WAFER DECHUCKING IN PVD CHAMBER

      
Numéro d'application US2023031959
Numéro de publication 2024/072606
Statut Délivré - en vigueur
Date de dépôt 2023-09-05
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhang, Fuhong
  • Li, Yu-Ru

Abrégé

Methods, system, and apparatus for substrate processing are provided for flowing a gas into a substrate processing chamber housing a substrate clamped to a chuck, wherein the gas is introduced at a location above the substrate; and while the gas is introduced, dechucking the substrate.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

82.

CORRECTION OF GLOBAL CURVATURE DURING STRESS MANAGEMENT

      
Numéro d'application US2023032044
Numéro de publication 2024/072609
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Subrahmanyan, Pradeep K.

Abrégé

Embodiments of the disclosure relate to techniques and apparatus for reducing out-of-plane distortion (OPD) in a substrate, as well as control of the effects of OPD and the effects that the modifications made to the substrate to correct for the OPD have on subsequent substrate processing operations performed on the substrate. The present embodiments employ novel techniques to reduce the OPD in a substrate without adding or modifying portions of the substrate that will create issues in subsequent substrate fabrication processes.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3115 - Dopage des couches isolantes
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

83.

FLUID CONDUIT AND FLANGE FOR HIGH BIAS APPLICATIONS

      
Numéro d'application US2023033467
Numéro de publication 2024/072701
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ravi, Sankaranarayanan
  • Garcia, Alvaro
  • Perez Guzman, Martin
  • Prouty, Stephen Donald
  • Schmid, Andreas

Abrégé

A method and apparatus for cooling a semiconductor chamber are described herein. A semiconductor chamber component, includes a powered region, a grounded region, and a fluid conduit disposed within the semiconductor chamber component and passing through the powered region and grounded region, the fluid conduit comprising a ceramic material.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

84.

SURFACE ROUGHNESS AND EMISSIVITY DETERMINATION

      
Numéro d'application US2023033631
Numéro de publication 2024/072755
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ng, Eric Chin Hong
  • Egan, Todd J.
  • Vaez-Iravani, Mehdi

Abrégé

A system includes a radiation source configured to emit a radiation beam. The system further includes a first optical sensor configured to detect a first intensity of a first portion of the radiation beam reflected from a surface of an object. The system further includes a second optical sensor configured to detect a second intensity of a second portion of the radiation beam scattered by the surface of the object. The system further includes a processing device communicatively coupled to the first optical sensor and the second optical sensor. The processing device is configured to determine at least one of a roughness or an emissivity of the surface of the object based on a comparison of the first intensity and the second intensity.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

85.

LARGE DIAMETER POROUS PLUG FOR ARGON DELIVERY AND TWO STAGE SOFT CHUCKING METHOD

      
Numéro d'application US2023033677
Numéro de publication 2024/072777
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gnanaprakasa, Tony Jefferson
  • Garcia, Alvaro
  • Perez Guzman, Martin
  • Prouty, Stephen Donald
  • Bammanahalli, Gautham
  • Inoue, Tatsuichiro
  • Moore, Nathaniel

Abrégé

The disclosure relates to a substrate support assembly for reducing the evacuation time when using argon gas. In one embodiment, a substrate support assembly includes a porous plug within the substrate support assembly. The porous plug includes a first cylindrical section with a first volume and axial length, a second cylindrical section with a second volume and axial length. The first cylindrical section has a larger volume than the second cylindrical section. The first cylindrical section and second cylindrical section have a volume ratio between about 2 and about 12. The first cylindrical section axial length and second cylindrical section axial length have a length ratio between about 2 and about 10.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

86.

PARTICLE ACCELERATOR HAVING NOVEL ELECTRODE CONFIGURATION FOR QUADRUPOLE FOCUSING

      
Numéro d'application US2023033996
Numéro de publication 2024/072975
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tam, Wai-Ming
  • Sinclair, Frank

Abrégé

An apparatus may include a drift tube assembly, comprising a plurality of drift tubes to conduct an ion beam along a beam propagation direction. The plurality of drift tubes may define a multi-gap configuration corresponding to a plurality of acceleration gaps, wherein the plurality of drift tubes further define a plurality of RF quadrupoles, respectively. As such, the plurality of quadrupoles are arranged to defocus the ion beam along a first direction at the plurality of acceleration gaps, respectively, where the first direction extends perpendicularly to the beam propagation direction.

Classes IPC  ?

  • H05H 7/22 - TECHNIQUE DU PLASMA; PRODUCTION DE PARTICULES ÉLECTRIQUEMENT CHARGÉES ACCÉLÉRÉES OU DE NEUTRONS; PRODUCTION OU ACCÉLÉRATION DE FAISCEAUX MOLÉCULAIRES OU ATOMIQUES NEUTRES - Détails des dispositifs des types couverts par les groupes - Détails d'accélérateurs linéaires, p.ex. tubes de glissement
  • H05H 9/00 - Accélérateurs linéaires

87.

MOLECULAR LAYER DEPOSITION CARBON MASKS FOR DIRECT SELECTIVE DEPOSITION OF SILICON-CONTAINING MATERIALS

      
Numéro d'application US2023074555
Numéro de publication 2024/073272
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Shen, Zeqing
  • Roy, Susmit Singha
  • Mallick, Abhijit Basu
  • Wang, Xinke
  • Ji, Xiang
  • Jha, Praket Prakash

Abrégé

Embodiments of the present technology relate to semiconductor processing methods that include providing a structured semiconductor substrate including a trench having a bottom surface and top surfaces. The methods further include depositing a portion of a silicon-containing material on the bottom surface of the trench for at least one deposition cycle, where each deposition cycle includes: depositing the portion of the silicon-containing material on the bottom surface and top surfaces of the trench, depositing a carbon-containing mask layer on the silicon-containing material on the bottom surface of the trench, where the carbon-containing mask layer is not formed on the top surfaces of the trench, removing the portion of the silicon-containing material from the top surfaces of the trench, and removing the carbon-containing mask layer from the silicon-containing material on the bottom surface of the trench, where the as-deposited silicon-containing material remains on the bottom surface of the trench.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

88.

Deposition Of Piezoelectric Films

      
Numéro d'application 18272438
Statut En instance
Date de dépôt 2021-01-18
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Sharma, Vijay Bhan
  • Xue, Yuan
  • Sangle, Abhijeet Laxman
  • Ramakrishnan, Bharatwaj
  • Yang, Yi
  • Seth, Suresh Chand
  • Kadam, Ankur Anant

Abrégé

A piezoelectric device comprises: a substrate (12) and a lead magnesium niobate-lead titanate (PMNPT) piezoelectric film on the substrate (12). The PMNPT film comprises: a thermal oxide layer (20) on the substrate (12); a first electrode above on the thermal oxide layer (20); a seed layer (26) above the first electrode; a lead magnesium niobate-lead titanate (PMNPT) piezoelectric layer (16) on the seed layer (26), and a second electrode on the PMNPT piezoelectric layer (16). The PMNPT film comprises a piezoelectric coefficient (d33) of greater than or equal to 200 pm/V.

Classes IPC  ?

  • H10N 30/853 - Compositions céramiques
  • C04B 35/493 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base d'oxydes à base d'oxydes de zirconium ou d'hafnium ou de zirconates ou d'hafnates contenant également de l'oxyde de titane ou des titanates à base de zirconates de plomb et de titanates de plomb contenant également d'autres composés du plomb
  • C04B 35/499 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base d'oxydes à base d'oxydes de vanadium, de niobium, de tantale, de molybdène ou de tungstène ou de leurs solutions solides avec d'autres oxydes, p.ex. vanadates, niobates, tantalates, molybdates ou tungstates à base de solutions solides avec de l'oxyde de plomb contenant également des titanates
  • C23C 14/02 - Pré-traitement du matériau à revêtir
  • C23C 14/08 - Oxydes
  • C23C 14/34 - Pulvérisation cathodique
  • C23C 14/58 - Post-traitement
  • C30B 23/02 - Croissance d'une couche épitaxiale
  • C30B 23/08 - Croissance d'une couche épitaxiale par condensation de vapeurs ionisées
  • C30B 29/30 - Niobates; Vanadates; Tantalates
  • C30B 29/32 - Titanates; Germanates; Molybdates; Tungstates
  • C30B 33/02 - Traitement thermique
  • H01J 37/34 - Tubes à décharge en atmosphère gazeuse fonctionnant par pulvérisation cathodique
  • H10N 30/00 - Dispositifs piézo-électriques ou électrostrictifs
  • H10N 30/04 - Traitements afin de modifier une propriété piézo-électrique ou électrostrictive, p.ex. les caractéristiques de polarisation, de vibration ou par réglage du mode
  • H10N 30/076 - Formation de parties ou de corps piézo-électriques ou électrostrictifs sur un élément électrique ou sur un autre support par dépôt de couches piézo-électriques ou électrostrictives, p.ex. par impression par aérosol ou par sérigraphie par dépôt en phase vapeur
  • H10N 30/079 - Formation de parties ou de corps piézo-électriques ou électrostrictifs sur un élément électrique ou sur un autre support par dépôt de couches piézo-électriques ou électrostrictives, p.ex. par impression par aérosol ou par sérigraphie à l’aide de couches intermédiaires, p.ex. pour contrôler la croissance

89.

TRANSITION METAL DICHALCOGENIDE COATED FLAT OPTICAL DEVICES HAVING SILICON-CONTAINING OPTICAL DEVICE STRUCTURES

      
Numéro d'application 18275383
Statut En instance
Date de dépôt 2022-01-31
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Teo, Russell Chin Yee
  • Connolly, James
  • Chen, Chien-An
  • Ceballos, Andrew
  • Jiang, Jing
  • Yang, Jhenghan
  • Xu, Yongan

Abrégé

Embodiments described herein relate to flat optical devices with a coating layer including monolayers selected from the group consisting of molybdenum disulfide (MoS2), tungsten disulfide (WS2), tungsten diselenide (WSe2), molybdenum diselenide (MoSe2), molybdenum ditelluride (MoTe2), titanium disulfide (TlS2), zirconium disulfide (ZrS2), zirconium diselenide (ZrSe2), hafnium disulfide (HfS2), platinum disulfide (PtS2), tin disulfide (SnS2), or combinations thereof. The coating layer is disposed over a plurality of optical device structures of the optical device. The monolayers may alternate between the materials to form the coating layer or may be a uniform coating layer of a single material. The coating layer is disposed over each optical device structure of the plurality of optical device structures.

Classes IPC  ?

  • G02B 1/00 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES Éléments optiques caractérisés par la substance dont ils sont faits; Revêtements optiques pour éléments optiques

90.

BROADBAND SUPPLY CIRCUITRY FOR A PLASMA PROCESSING SYSTEM

      
Numéro d'application 18334063
Statut En instance
Date de dépôt 2023-06-13
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Shi, Tiefeng
  • Fu, Gang
  • Miller, Keith A.

Abrégé

Embodiments provided herein generally include apparatus, plasma processing systems and methods for dynamic impedance matching across multiple frequency bands of a power source. An example method includes amplifying a broadband signal, splitting the amplified broadband signal across a plurality of channel paths coupled to an impedance matching network, and adjusting at least one first impedance associated with the impedance matching network to achieve a second impedance within a threshold value based at least in part on feedback associated with the broadband signal. The impedance matching network includes a plurality of impedance matching circuits coupled to plasma excitation circuitry, and each of the impedance matching circuits is coupled to a different path of the plurality of channel paths and an output node.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

91.

VACUUM SEALING INTEGRITY OF CRYOGENIC ELECTROSTATIC CHUCKS USING NON-CONTACT SURFACE TEMPERATURE MEASURING PROBES

      
Numéro d'application 18368052
Statut En instance
Date de dépôt 2023-09-14
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ravi, Sankaranarayanan
  • Garcia, Alvaro
  • Guzman, Martin Perez
  • Prouty, Stephen Donald
  • Noujaim, Andrew Antoine

Abrégé

The disclosure relates to a substrate support assembly and apparatus for measuring the temperature of a substrate disposed on the support assembly. In one embodiment, a substrate temperature measurement apparatus includes a substrate support assembly, a probe assembly, and a probe target. The substrate support assembly includes an electrostatic chuck and one or more plates. The probe assembly within the substrate support assembly extends through one or more of the one or more plates. The probe assembly includes an optical probe sensor, an optical fiber coupled to the optical probe sensor, and an insulating sheath surrounding the optical fiber. The probe target includes a phosphor coating, is in contact with the electrostatic chuck, and is spaced from the probe assembly.

Classes IPC  ?

  • G01K 11/00 - Mesure de la température basée sur les variations physiques ou chimiques, n'entrant pas dans les groupes , , ou
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

92.

SOFT-CHUCKING SCHEME FOR IMPROVED BACKSIDE PARTICLE PERFORMANCE

      
Numéro d'application 18372818
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gnanaprakasa, Tony Jefferson
  • Garcia, Alvaro
  • Bammanahalli, Gautham
  • Inoue, Tatsuichiro
  • Moore, Nathaniel

Abrégé

Embodiments of this disclosure include methods of chucking and de-chucking a substrate. A method of chucking a substrate to a surface of an electrostatic chuck includes applying a first voltage to a chucking electrode in the ESC during a chucking time interval, supplying an inert gas at a first pressure to a backside of the substrate during the chucking time interval, applying a second voltage to the chucking electrode in the ESC after the chucking time interval, the second voltage being higher than the first voltage, and supplying the inert gas at a second pressure to the backside of the substrate after the chucking time interval, the second pressure being higher than the first pressure of the inert gas.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

93.

METHOD FOR CMP TEMPERATURE CONTROL

      
Numéro d'application 18537574
Statut En instance
Date de dépôt 2023-12-12
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wu, Haosheng
  • Chang, Shou-Sung
  • Chou, Chih Chung
  • Tang, Jianshe
  • Chen, Hui
  • Soundararajan, Hari
  • Brown, Brian J.

Abrégé

A chemical mechanical polishing system includes a platen to support a polishing pad having a polishing surface, a conduit having an inlet to be coupled to a gas source, and a dispenser coupled to the conduit and having a convergent-divergent nozzle suspended over the platen to direct gas from the gas source onto the polishing surface of the polishing pad.

Classes IPC  ?

  • B24B 37/015 - Commande de la température
  • B24B 57/02 - Dispositifs pour l'alimentation, l'application, le triage ou la récupération de produits de meulage, polissage ou rodage pour l'alimentation en produits de meulage, polissage ou rodage à l'état fluide, vaporisés, pulvérisés ou liquéfiés
  • H01L 21/321 - Post-traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

94.

SELECTIVE OXIDATION OF A SUBSTRATE

      
Numéro d'application 17956157
Statut En instance
Date de dépôt 2022-09-29
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lo, Hansel
  • Olsen, Chris

Abrégé

Described herein is a method for selectively oxidizing a substrate. The method includes forming a non-conformal layer on at least one side surface of a trench or a hole of a substrate. After forming the non-conformal layer, the at least one trench or at least one hole may be selectively oxidized, wherein oxidation of the non-conformal layer and an exposed portion of the at least one side wall not covered by the non-conformal layer occurs to form an oxide layer. The oxide layer is thicker at a lower portion of the at least one side wall than the upper portion of the at least one side wall, such that it tapers.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

95.

MEMBRANE FAILURE DETECTION SYSTEM

      
Numéro d'application 17959076
Statut En instance
Date de dépôt 2022-10-03
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Zhang, Chang
  • Chen, Jian J.
  • Truong, Quoc
  • Leighton, Jamie Stuart

Abrégé

A polishing system includes a pressure system, a substrate carrier including a membrane, a first sensor, and a control system. A first compartment of the membrane is fluidly coupled to the pressure system. The first sensor is configured to monitor the pressure system and produce a first output based on conditions detected in the pressure system. The control system coupled to the first sensor and configured to process the first output to produce a first processed output, and the control system configured to compare the first processed output to a threshold to detect a presence of a fluid in the pressure system.

Classes IPC  ?

  • B24B 49/16 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage tenant compte de la pression de travail
  • B24B 37/30 - Supports de pièce pour rodage simple face de surfaces planes
  • B24B 49/12 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs optiques

96.

PHOTONIC GLASS LAYER SUBSTRATE WITH EMBEDDED OPTICAL STRUCTURES FOR COMMUNICATING WITH AN ELECTRO OPTICAL INTEGRATED CIRCUIT

      
Numéro d'application 17959973
Statut En instance
Date de dépôt 2022-10-04
Date de la première publication 2024-04-04
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abrégé

Embodiments described herein relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto-electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co-packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

Classes IPC  ?

  • G02B 6/42 - Couplage de guides de lumière avec des éléments opto-électroniques

97.

BROADBAND SUPPLY CIRCUITRY FOR A PLASMA PROCESSING SYSTEM

      
Numéro d'application US2023025135
Numéro de publication 2024/072496
Statut Délivré - en vigueur
Date de dépôt 2023-06-13
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Shi, Tiefeng
  • Fu, Gang
  • Miller, Keith A.

Abrégé

Embodiments provided herein generally include apparatus, plasma processing systems and methods for dynamic impedance matching across multiple frequency bands of a power source. An example method includes amplifying a broadband signal, splitting the amplified broadband signal across a plurality of channel paths coupled to an impedance matching network, and adjusting at least one first impedance associated with the impedance matching network to achieve a second impedance within a threshold value based at least in part on feedback associated with the broadband signal. The impedance matching network includes a plurality of impedance matching circuits coupled to plasma excitation circuitry, and each of the impedance matching circuits is coupled to a different path of the plurality of channel paths and an output node.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/38 - Réseaux d'adaptation d'impédance

98.

HELICAL VOLTAGE STANDOFF

      
Numéro d'application US2023030707
Numéro de publication 2024/072565
Statut Délivré - en vigueur
Date de dépôt 2023-08-21
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gronski, Diana, C.
  • Chen, Alicia
  • Chaney, Craig, R.
  • Mclaughlin, Adam, M.

Abrégé

An insulator that has a helical protrusion spiraling around the shaft is disclosed. A lip is disposed on the distal end of the helical protrusion, creating regions on the shaft that are shielded from material deposition by the lip. By proper sizing of the threads, the helical protrusion and the lip, the line-of-sight to the interior wall of the shaft can be greatly reduced. This results in longer times before failure. This insulator may be used in an ion implantation system to physically and electrically separate two components.

Classes IPC  ?

  • H01J 37/08 - Sources d'ions; Canons à ions
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01B 17/56 - Corps isolants

99.

FREQUENCY AND AMPLITUDE MODULATION OF IMPLANT DOSE FOR STRESS MANAGEMENT

      
Numéro d'application US2023030896
Numéro de publication 2024/072570
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Subrahmanyan, Pradeep K.

Abrégé

Embodiments of the disclosure relate to techniques and apparatus for reducing out-of-plane distortion (OPD) in a substrate, as well as control of the effects of OPD and the effects that the modifications made to the substrate to correct for the OPD have on subsequent substrate processing operations performed on the substrate. The present embodiments employ novel techniques to reduce the OPD in a substrate without adding or modifying portions of the substrate that will create issues in subsequent substrate fabrication processes.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions

100.

METHOD AND APPARATUS FOR PROCESSING A SUBSTRATE IN CLEANING MODULES

      
Numéro d'application US2023032244
Numéro de publication 2024/072615
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Rangarajan, Jagan
  • Golubovsky, Edward
  • Velazquez, Edwin
  • Blank, Adrian S.
  • Zuniga, Steven M.
  • Jagannathan, Balasubramaniam C.

Abrégé

Embodiments described herein generally relate to equipment used in the manufacturing of electronic devices, and more particularly, to a cleaning system, cleaning system hardware and related methods which may be used to transport and clean the surface of a substrate. According to one embodiment, a blade handling assembly for handling a substrate in a cleaning system includes a gripping assembly including a pair of gripping blades, the blades operable with a gripping actuator to hold a substrate at its edges. The assembly includes a first blade actuator for moving the gripping assembly and substrate between a horizontal and a vertical orientation utilizing a first axis. The assembly includes a second blade actuator for moving the vertically oriented gripping assembly and substrate 180 degrees utilizing a second axis, thereby causing the substrate to face an opposite direction. Movement utilizing the first axis results in rotation of the first and second blade actuators and movement utilizing the second axis results in rotation of only the second blade actuator.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe
  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  1     2     3     ...     100        Prochaine page