Applied Materials, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 8 176 pour Applied Materials, Inc. et 1 filiale Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Excluant les filiales
Affiner par Reset Report
Propriétaire / Filiale
[Owner] Applied Materials, Inc. 8 176
Applied Materials Israel, Ltd. 6
Date
Nouveautés (dernières 4 semaines) 98
2023 septembre (MACJ) 85
2023 août 74
2023 juillet 66
2023 juin 110
Voir plus
Classe IPC
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 1 663
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 1 637
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 1 201
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 971
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces 653
Voir plus
Statut
En Instance 2 075
Enregistré / En vigueur 6 101
Résultats pour  brevets
  1     2     3     ...     82        Prochaine page

1.

PROCESSING CHAMBER CONDITION AND PROCESS STATE MONITORING USING OPTICAL REFLECTOR ATTACHED TO PROCESSING CHAMBER LINER

      
Numéro d'application 18203853
Statut En instance
Date de dépôt 2023-05-31
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Tae, Patrick

Abrégé

A method includes receiving light, by a light coupling device and along an optical path, reflected back from a reflector mounted on a liner of a processing chamber. The method further includes detecting, by a spectrometer within the received light, a first spectrum representative of a deposited film layer on the reflector. The method further includes aligning, using an alignment device, the light coupling device in two dimensions with reference to the reflector along the optical path until maximization of the light received by the light coupling device.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G01N 21/55 - Réflexion spéculaire
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

2.

Process Chamber And Process Kits For Advanced Packaging

      
Numéro d'application 17704840
Statut En instance
Date de dépôt 2022-03-25
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Kamath, Aravind Miyar
  • Tsai, Cheng-Hsiung Matthew
  • Koppa, Manjunatha

Abrégé

Process kits for processing chambers and processing chambers having a lower shield and lower shield ring are described. The lower shield has a ring-shaped body with an inner wall and an outer wall, a top wall and a bottom wall with an outer ledge wall extends outwardly from a lower portion of the outer wall to an outer ledge outer wall. The lower shield ring has a ramped lower inner wall with a top face spaced a distance from the bottom face of the upper inner wall so that the distance decreases from the lower inner wall to an inside surface of the outer wall. At least one upper opening extends through the top portion of the lower shield ring and at least one opening extends through the lower portion of the lower shield ring. Upper shields configured to cooperatively interact with the lower shield and lower shield ring are also described.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01J 37/20 - Moyens de support ou de mise en position de l'objet ou du matériau; Moyens de réglage de diaphragmes ou de lentilles associées au support

3.

PIXELATED SHOWERHEAD FOR RF SENSITIVE PROCESSES

      
Numéro d'application 17703537
Statut En instance
Date de dépôt 2022-03-24
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Khaja, Abdul Aziz
  • Rocha-Alvarez, Juan Carlos

Abrégé

Exemplary semiconductor processing chambers may include a gas delivery assembly. The chambers may include a substrate support. The chambers may include a faceplate positioned between the gas delivery assembly and the substrate support. The faceplate may be characterized by a first surface and a second surface. The second surface of the faceplate and the substrate support may at least partially define a processing region. The faceplate may define a first plurality of apertures and a second plurality of apertures. Each of the first plurality of apertures may include a first generally conical aperture profile that extends through the second surface that extends through the second surface. Each of the second plurality of apertures may include a second generally conical aperture profile that extends through the second surface. The second generally conical aperture profile may be different than the first generally conical aperture profile.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

4.

AUTONOMOUS SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application 18325918
Statut En instance
Date de dépôt 2023-05-30
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Panda, Priyadarshi
  • Lian, Lei
  • Han, Pengyu
  • Egan, Todd J.
  • Aji, Prashant
  • Mor, Eli
  • Tom, Alex J.
  • Tedeschi, Leonard Michael

Abrégé

A cool cluster comprises one or more transfer chambers; a plurality of process chambers connected to the one or more transfer chambers; and a computing device of the tool cluster. The computing device is to receive first measurements generated by sensors of a first process chamber during or after a process is performed within the first process chamber; determine that the first process chamber is due for maintenance based on processing the first measurements using a first trained machine learning model; after maintenance has been performed on the first process chamber, receive second measurements generated by the sensors during or after a seasoning process is performed within the first process chamber; and determine that the first process chamber is ready to be brought back into service based on processing the second measurements using a second trained machine learning model.

Classes IPC  ?

  • G05B 19/4155 - Commande numérique (CN), c.à d. machines fonctionnant automatiquement, en particulier machines-outils, p.ex. dans un milieu de fabrication industriel, afin d'effectuer un positionnement, un mouvement ou des actions coordonnées au moyen de données d'u caractérisée par le déroulement du programme, c.à d. le déroulement d'un programme de pièce ou le déroulement d'une fonction machine, p.ex. choix d'un programme
  • G06N 20/00 - Apprentissage automatique

5.

ELECTRICAL IMPROVEMENTS FOR 3D NAND

      
Numéro d'application 17705135
Statut En instance
Date de dépôt 2022-03-25
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Pavlopoulos, Dimitrios
  • Cheng, Rui
  • Zhao, Qinghua
  • Janakiraman, Karthik

Abrégé

Exemplary semiconductor processing methods may include providing a silicon-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region of the semiconductor processing chamber. Alternating layers of material may be formed on the substrate. One or more recesses may be formed in the alternating layers of material. The methods may include forming a first silicon-containing material. The first silicon-containing material may extend into the one or more recesses formed in the alternating layers of material. The methods may include providing a halogen-containing precursor to the processing region of the semiconductor processing chamber. The methods may include forming a silicon-and-halogen-containing material. The silicon-and-halogen-containing material may overly the first silicon-containing material. The methods may include forming a second silicon-containing material. The second silicon-containing material may overly the silicon-and-halogen-containing material.

Classes IPC  ?

  • H01L 27/11582 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec isolateurs de grille à piégeage de charge, p.ex. MNOS ou NROM caractérisées par des agencements tridimensionnels, p.ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. canaux en forme de U
  • H01L 27/1157 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec isolateurs de grille à piégeage de charge, p.ex. MNOS ou NROM caractérisées par la région noyau de mémoire avec transistors de sélection de cellules, p.ex. NON-ET
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

6.

LOW COST FABRICATION OF OPTICAL DEVICE USING DISCRETE GRATING MODULE ASSEMBLY

      
Numéro d'application 18183273
Statut En instance
Date de dépôt 2023-03-14
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tang, Hao
  • Luo, Kang
  • Xu, Yongan
  • Ceballos, Andrew
  • Meyer Timmerman Thijssen, Rutger

Abrégé

Embodiments of the present disclosure relate to optical device fabrication using methods of discrete grating assembly and optical interconnection. Discrete gratings corresponding to one of an input coupling grating, an intermediate grating, or an output coupling grating of an optical device are formed on separated donor substrates. The donor substrates are diced into individual gratings and adhered to an optical device substrate. An inkjet material is disposed between the gratings to optically interconnect the portions of the optical device.

Classes IPC  ?

  • G02B 5/18 - Grilles de diffraction
  • G02B 6/02 - Fibres optiques avec revêtement
  • G02B 6/42 - Couplage de guides de lumière avec des éléments opto-électroniques
  • G02B 6/34 - Moyens de couplage optique utilisant des prismes ou des réseaux

7.

SNSPD WITH INTEGRATED ALUMINUM NITRIDE SEED OR WAVEGUIDE LAYER

      
Numéro d'application 18315459
Statut En instance
Date de dépôt 2023-05-10
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Yang, Zihao
  • Zhu, Mingwei
  • Patibandla, Nag B.
  • Yahav, Nir
  • Visser, Robert Jan
  • De La Zerda, Adi

Abrégé

A superconducting nanowire single photon detector (SNSPD) device includes a substrate having a top surface, an optical waveguide on the top surface of the substrate to receive light propagating substantially parallel to the top surface of the substrate, a seed layer of metal nitride on the optical waveguide, and a superconductive wire on the seed layer. The superconductive wire is a metal nitride different from the metal nitride of the seed layer and is optically coupled to the optical waveguide.

Classes IPC  ?

  • H10N 60/85 - Matériaux actifs supraconducteurs
  • G02B 6/10 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques
  • G01J 1/44 - Circuits électriques

8.

ENHANCED CHAMBER CLEAN AND RECOVERY WITH DUAL FLOW PATH

      
Numéro d'application 17968454
Statut En instance
Date de dépôt 2022-10-18
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Narayanan, Prasanth
  • Sampathkumar, Shrihari
  • Tanaka, Keiichi
  • Sanchez, Mario D.
  • Rasheed, Muhammad M.
  • Sriram, Mandyam

Abrégé

Processing chambers comprising a chamber body, a remote plasma source (RPS) outside the chamber body, a first connection line between the remote plasma source and the interior volume of the chamber body through the top wall and a second connection line between the remote plasma source and the interior volume through the sidewall of the chamber body. Methods of cleaning a processing chamber comprising flowing an etchant gas through the RPS into the chamber body, followed by a flow recovery gas through the RPS into the chamber body through both the first connection line and second connection line.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

9.

LOW TEMPERATURE N-TYPE CONTACT EPI FORMATION

      
Numéro d'application 18121718
Statut En instance
Date de dépôt 2023-03-15
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Breil, Nicolas
  • Cogorno, Matthew
  • Wang, Anchuan
  • Lee, Byeong Chan
  • Vellaikal, Manoj

Abrégé

Methods for forming a semiconductor structure and semiconductor structures are described. The method comprises non-selectively depositing an amorphous silicon layer on a top surface and a sidewall surface of at least one contact trench on a substrate and a crystalline silicon layer on a bottom surface of the at least one contact trench at a temperature less than or equal to 400° C., the bottom surface including a source/drain material. The amorphous silicon layer is selectively removed from the top surface and the sidewall surface at a temperature less than or equal to 400° C. The method may be performed in a processing chamber without breaking vacuum.

Classes IPC  ?

10.

LASER DRILLED FACEPLATE

      
Numéro d'application 17703505
Statut En instance
Date de dépôt 2022-03-24
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ramalingam, Chidambara A.
  • Jafari, Shawyon

Abrégé

Exemplary methods of fabricating a faceplate for a processing chamber may include drilling a first portion of each of a plurality of apertures in a first surface of a faceplate. Each first portion may extend at least partially through a thickness of the faceplate. The methods may include detecting a center of each first portion using a laser drilling apparatus. The methods may include drilling a diffuser portion in each of the plurality of apertures using the laser drilling apparatus. Each diffuser portion is centered with respect to a respective one of the first portions.

Classes IPC  ?

  • B23K 26/384 - Enlèvement de matière par perçage ou découpage par perçage de trous de forme spéciale
  • B23K 26/00 - Travail par rayon laser, p.ex. soudage, découpage ou perçage 
  • B23K 26/073 - Détermination de la configuration du spot laser

11.

SUPPORT LAYER FOR SMALL PITCH FILL

      
Numéro d'application 18122373
Statut En instance
Date de dépôt 2023-03-16
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Fishburn, Fredrick
  • Kang, Sung-Kwan

Abrégé

Provided is a DRAM device having a support layer to hold the bWL features before being filled with the electrode metal. The support layer keeps the structure supported from the top surface but does not prevent the gap fill. A temporary gap-fill material is first deposited in the bWL gaps and then recessed to expose the top edges. A support layer material is then deposited on the structure by plasma enhanced chemical vapor deposition (PECVD). The device is then patterned orthogonal and with pitch greater than the bWL pitch. The temporary gap-fill material is then removed, forming support beams comprising the support material. A metal can then be deposited to fill the bWL gaps under the support beams.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]

12.

LINER TO FORM COMPOSITE HIGH-K DIELECTRIC

      
Numéro d'application 18116909
Statut En instance
Date de dépôt 2023-03-03
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Fishburn, Fredrick

Abrégé

Provided are methods to reduce the thickness of a high-κ layer needed in a DRAM capacitor and, thus, allow the cell electrodes to be larger, giving higher cell capacitance. A tantalum nitride (TaN) layer is introduced as a liner in the capacitor hole before a titanium nitride (TiN) electrode layer. The TaN layer converts to a thin layer of tantalum oxide (Ta2O5), which permits a reduction in the high-κ layer thickness for the same capacitance versus leakage. Because this Ta2O5 is formed directly on the cell electrode, it ensures a low leakage film exists in the narrowest gaps even before the high-κ layer is deposited.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]

13.

MACHINE LEARNING MODEL GENERATION AND UPDATING FOR MANUFACTURING EQUIPMENT

      
Numéro d'application 17668280
Statut En instance
Date de dépôt 2022-02-09
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Han, Pengyu
  • Chen, Hong-Rui
  • Chen, Shu-Yu
  • Lai, Wan-Hsueh
  • Lu, Pin Ham
  • Yao, Zhengping

Abrégé

A method includes determining that conditions of a processing chamber have changed since a trained machine learning model associated with the processing chamber was trained. The method further includes determining whether a change in the conditions of the processing chamber is a gradual change or a sudden change. Responsive to determining that the change in the conditions of the processing chamber is a gradual change, the method further includes performing a first training process to generate a new machine learning model. Responsive to determining that the change in the conditions of the processing chamber is a sudden change, the method further includes performing a second training process to generate the new machine learning model. The first training process is different from the second training process.

Classes IPC  ?

  • G06N 5/02 - Représentation de la connaissance; Représentation symbolique
  • G05B 13/02 - Systèmes de commande adaptatifs, c. à d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques

14.

VERTICALLY ADJUSTABLE PLASMA SOURCE

      
Numéro d'application 18205690
Statut En instance
Date de dépôt 2023-06-05
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tanaka, Tsutomu
  • Lee, Jared Ahmad
  • Ramadas, Rakesh
  • Dzilno, Dmitry A.
  • Wilson, Gregory J.
  • Srinivasan, Sriharish

Abrégé

The disclosure describes a plasma source assemblies comprising a differential screw assembly, an RF hot electrode, a top cover, an upper housing and a lower housing. The differential screw assembly is configured to provide force to align the plasma source assembly vertically matching planarity of a susceptor. More particularly, the differential screw assembly increases a distance between the top cover and the upper housing to align the gap with the susceptor. The disclosure also provides a better thermal management by cooling fins. A temperature capacity of the plasma source assemblies is extended by using titanium electrode. The disclosure provides a cladding material covering a portion of a first surface of RF hot electrode, a second surface of RF hot electrode, a bottom surface of RF hot electrode, a portion of a surface of the showerhead and a portion of lower housing surface.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

15.

METHODS AND MECHANISMS FOR MEASURING PATTERNED SUBSTRATE PROPERTIES DURING SUBSTRATE MANUFACTURING

      
Numéro d'application 17704298
Statut En instance
Date de dépôt 2022-03-25
Date de la première publication 2023-09-28
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Chou, Ping-Yu
  • Chang, Ya-Chu
  • Lin, Jui-Che
  • Peng, Hao-Wei
  • Lee, Chao-Hsien
  • Juang, Shauh-Teh

Abrégé

An electronic device manufacturing system configured to obtain sensor data associated with a deposition process performed in a process chamber to deposit a film stack on a surface of a substrate. The film stack can include a known film pattern and an unknown film pattern. The manufacturing system is further configured to input the sensor data into a first trained machine-learning model to obtain a first output value of the first trained machine-learning model. The first output value can be associated with the known film pattern. The manufacturing system is further configured to input the first output value into a second trained machine-learning model to obtain a second output value of the second trained machine-learning model. The second output value can be indicative of metrology data of the known film pattern.

Classes IPC  ?

16.

MULTIPLEXED HOLOGRAM INTERFERENCE EXPOSURE SYSTEM

      
Numéro d'application 17919017
Statut En instance
Date de dépôt 2021-01-20
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Mueller, Ulrich
  • Markle, David

Abrégé

The present disclosure provides an apparatus and method for fabricating optical devices. The apparatus includes a support table having process chambers and a laser used to direct a beam along a propagation path to each of the process chambers. A central mirror is centrally disposed among the process chambers and is rotatable to reflect the beam to each of the process chambers for processing. A beam splitter is disposed within each of process chambers, each beam splitter is used to receive beams from the central mirror and emits a first beam in a first direction and a second beam in a second direction. A first mirror directs the first beam to a device and a second mirror directs the second beam to the device. Each of the first and second mirror is rotatable in at least three axes.

Classes IPC  ?

  • G02B 27/09 - Mise en forme du faisceau, p.ex. changement de la section transversale, non prévue ailleurs
  • G02B 27/28 - Systèmes ou appareils optiques non prévus dans aucun des groupes , pour polariser
  • G02B 26/08 - Dispositifs ou dispositions optiques pour la commande de la lumière utilisant des éléments optiques mobiles ou déformables pour commander la direction de la lumière

17.

METHOD OF FORMING INTERCONNECT STRUCTURE

      
Numéro d'application 18142236
Statut En instance
Date de dépôt 2023-05-02
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gandikota, Srinivas
  • Bajaj, Geetika
  • Yang, Yixiong
  • Ganguli, Seshadri
  • Ailihumaer, Tuerxun
  • Sharma, Yogesh
  • Huang, Tianyi

Abrégé

Methods of depositing a metal film by exposing a substrate surface to a halide precursor and an organosilane reactant are described. The halide precursor comprises a compound of general formula (I): MQzRm, wherein M is a metal, Q is a halogen selected from Cl, Br, F or I, z is from 1 to 6, R is selected from alkyl, CO, and cyclopentadienyl, and m is from 0 to 6. The aluminum reactant comprises a compound of general formula (II) or general formula (III): Methods of depositing a metal film by exposing a substrate surface to a halide precursor and an organosilane reactant are described. The halide precursor comprises a compound of general formula (I): MQzRm, wherein M is a metal, Q is a halogen selected from Cl, Br, F or I, z is from 1 to 6, R is selected from alkyl, CO, and cyclopentadienyl, and m is from 0 to 6. The aluminum reactant comprises a compound of general formula (II) or general formula (III): Methods of depositing a metal film by exposing a substrate surface to a halide precursor and an organosilane reactant are described. The halide precursor comprises a compound of general formula (I): MQzRm, wherein M is a metal, Q is a halogen selected from Cl, Br, F or I, z is from 1 to 6, R is selected from alkyl, CO, and cyclopentadienyl, and m is from 0 to 6. The aluminum reactant comprises a compound of general formula (II) or general formula (III): wherein R1, R2, R3, R4, R5, R6, R7, R8, Ra, Rb, Rc, Rd, Re, and Rf are independently selected from hydrogen (H), substituted alkyl or unsubstituted alkyl; and X, Y, X′, and Y′ are independently selected from nitrogen (N) and carbon (C).

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C08G 77/26 - Polysiloxanes contenant du silicium lié à des groupes organiques contenant des atomes autres que le carbone, l'hydrogène et l'oxygène groupes contenant de l'azote
  • C08G 77/50 - Composés macromoléculaires obtenus par des réactions créant dans la chaîne principale de la macromolécule une liaison contenant du silicium, avec ou sans soufre, azote, oxygène ou carbone dans lesquels au moins deux atomes de silicium, mais pas la totalité, sont liés autrement que par des atomes d'oxygène par des liaisons au carbone
  • C23C 16/34 - Nitrures
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/32 - Carbures
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes

18.

FORMULATIONS FOR ADVANCED POLISHING PADS

      
Numéro d'application 18202013
Statut En instance
Date de dépôt 2023-05-25
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ganapathiappan, Sivapackia
  • Vora, Ankit
  • Fu, Boyi
  • Hariharan, Venkat
  • Yamamura, Mayu
  • Cornejo, Mario
  • Abramson, Igor
  • Yang, Mo
  • Redfield, Daniel
  • Bajaj, Rajeev
  • Patibandla, Nag B.

Abrégé

Methods and formulations for manufacturing polishing articles used in polishing processes are provided. In one implementation, a UV curable resin precursor composition is provided. The UV curable resin precursor comprises a precursor formulation. The precursor formulation comprises a first resin precursor component that comprises a semi-crystalline radiation curable oligomeric material, wherein the semi-crystalline radiation curable oligomeric material is selected from a semi-crystalline aliphatic polyester urethane acrylate, a semi-crystalline aliphatic polycarbonate urethane acrylate, a semi-crystalline aliphatic polyether urethane acrylate, or combinations thereof. The precursor formulation further comprises a second resin precursor component that comprises a monofunctional or multifunctional acrylate monomer. The resin precursor formulation further comprises a photoinitiator, wherein the precursor formulation has a viscosity that enables the precursor formulation to be dispensed to form a portion of a polishing article by an additive manufacturing process.

Classes IPC  ?

  • B24B 37/24 - Tampons de rodage pour travailler les surfaces planes caractérisés par la composition ou les propriétés des matériaux du tampon
  • C08F 283/00 - Composés macromoléculaires obtenus par polymérisation de monomères sur des polymères prévus par la sous-classe
  • B33Y 10/00 - Procédés de fabrication additive
  • B29C 64/112 - Procédés de fabrication additive n’utilisant que des matériaux liquides ou visqueux, p.ex. dépôt d’un cordon continu de matériau visqueux utilisant des gouttelettes individuelles, p.ex. de buses de jet
  • B33Y 70/10 - Composites de différents types de matériaux, p.ex. mélanges de céramiques et de polymères ou mélanges de métaux et de biomatériaux

19.

COMMUNICATION NODE TO INTERFACE BETWEEN EVALUATION SYSTEMS AND A MANUFACTURING SYSTEM

      
Numéro d'application 17695058
Statut En instance
Date de dépôt 2022-03-15
Date de la première publication 2023-09-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Howells, Michael
  • Kril, Thorsten
  • Nagendra, Hemanth Konanur
  • Sasan, Jatinder

Abrégé

An electronic device manufacturing system that includes a process tool and a tool server coupled to the process tool and comprising a communication node and an evaluation system. The communication node is configured to obtain one or more attributes from an evaluation system and provide a monitoring device comprising a data collection plan that is based on the one or more attributes. The communication node is further configured to register the monitoring device with a process tool. The communication node is further configured to receive, from the process tool, data based on the data collection plan and send the received data to the evaluation system.

Classes IPC  ?

  • G05B 19/4155 - Commande numérique (CN), c.à d. machines fonctionnant automatiquement, en particulier machines-outils, p.ex. dans un milieu de fabrication industriel, afin d'effectuer un positionnement, un mouvement ou des actions coordonnées au moyen de données d'u caractérisée par le déroulement du programme, c.à d. le déroulement d'un programme de pièce ou le déroulement d'une fonction machine, p.ex. choix d'un programme
  • G05B 19/18 - Commande numérique (CN), c.à d. machines fonctionnant automatiquement, en particulier machines-outils, p.ex. dans un milieu de fabrication industriel, afin d'effectuer un positionnement, un mouvement ou des actions coordonnées au moyen de données d'u

20.

SUBSTRATE PROCESSING FOR GaN GROWTH

      
Numéro d'application 17695206
Statut En instance
Date de dépôt 2022-03-15
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Khoury, Michel
  • Someshwar, Ria

Abrégé

Exemplary semiconductor structures may include a silicon-containing substrate. The structures may include a first layer of a first metal nitride overlying the silicon-containing substrate. The structures may include a second layer of a second metal nitride overlying the first layer of the first metal nitride. The structures may include a gallium nitride structure overlying the layer of the metal nitride.

Classes IPC  ?

  • H01L 33/32 - Matériaux de la région électroluminescente contenant uniquement des éléments du groupe III et du groupe V de la classification périodique contenant de l'azote
  • H01L 33/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails

21.

TOOL DRIFT COMPENSATION WITH MACHINE LEARNING

      
Numéro d'application 18019054
Statut En instance
Date de dépôt 2021-08-06
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lin, Yenshuo
  • Coskun, Tamer

Abrégé

Methods and systems for predictively determining tool drift in a digital lithography tool for early warning, and/or adjusting the tool in-situ to mitigate the effects of drift. Drift is measured during manufacturing by measuring alignment marks from eye-to-eye a bridge-to-bridge, among other methods. Measured drift is decomposed into three components: trend—trending drift over time, increment—rate of change of drift over time, and remaining—the difference between the drift, the trend, and the increment. Each component is provided to a machine learning engine, that predicts the next measurement of each component. Predicted measurements may be provided to the tool for use as adjustment parameters to modify how an eye module shoots a pattern onto a substrate, and/or as an early warning when predicted parameters are outside of a desired processing parameter window.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G06N 5/022 - Ingénierie de la connaissance; Acquisition de la connaissance

22.

DENSE VERTICALLY SEGMENTED SILICON COATING FOR LOW DEFECTIVITY IN HIGH-TEMPERATURE RAPID THERMAL PROCESSING

      
Numéro d'application 17695475
Statut En instance
Date de dépôt 2022-03-15
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Jorgensen, David
  • Wu, Jian
  • Mayur, Abhilash J.

Abrégé

This application generally relates to a chamber component for a thermal processing chamber comprising a base component having a coating disposed thereon, the coating having a base component having a coating disposed thereon, the coating includes a surface, a thickness, and a plurality of cracks extending from the surface of the coating through at least 40 percent of the thickness of the coating.

Classes IPC  ?

  • C23C 4/134 - Pulvérisation plasma
  • C23C 4/04 - Revêtement par pulvérisation du matériau de revêtement à l'état fondu, p.ex. par pulvérisation à l'aide d'une flamme, d'un plasma ou d'une décharge électrique caractérisé par le matériau de revêtement

23.

UNIFORM RADIATION HEATING CONTROL ARCHITECTURE

      
Numéro d'application 17695619
Statut En instance
Date de dépôt 2022-03-15
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Rao, Preetham
  • Kumar, Surajit
  • Iu, Dongming
  • Aderhold, Wolfgang

Abrégé

Embodiments disclosed herein include a method of modeling a rapid thermal processing (RTP) tool. In an embodiment, the method comprises developing a lamp model of an RTP tool, wherein the lamp model comprises a plurality of lamp zones, calculating an irradiance graph for the plurality of lamp zones, multiplying irradiance values of the plurality of lamp zones in the irradiance graph by a power of an existing RTP tool at a given time during a process recipe, summing the multiplied irradiance values for the plurality of lamp zones to form an irradiation graph of the lamp model, using the irradiation graph as an input to a machine learning algorithm, and outputting the temperature across a hypothetical substrate from the machine learning algorithm.

Classes IPC  ?

  • G06F 30/27 - Optimisation, vérification ou simulation de l’objet conçu utilisant l’apprentissage automatique, p.ex. l’intelligence artificielle, les réseaux neuronaux, les machines à support de vecteur [MSV] ou l’apprentissage d’un modèle
  • G06K 9/62 - Méthodes ou dispositions pour la reconnaissance utilisant des moyens électroniques

24.

INORGANIC SILICON-CONTAINING OVERHANG STRUCTURES OF OLED SUBPIXELS

      
Numéro d'application 18006237
Statut En instance
Date de dépôt 2021-06-08
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Choung, Ji Young
  • Lin, Yu-Hsin

Abrégé

The present disclosure relates to overhang structures and methods of fabricating a sub-pixel circuit with the overhang structures that may be utilized in a display such as an organic light-emitting diode (OLED) display. The adjacent inorganic silicon-containing overhang structures defining each sub-pixel of the sub- pixel circuit of the display provide for formation of the sub-pixel circuit using evaporation deposition and provide for the inorganic silicon-containing overhang structures to remain in place after the sub-pixel circuit is formed. A first configuration of the inorganic silicon-containing overhang structures includes a gradient concentration profile. A second configuration of the inorganic silicon-containing overhang structures includes an upper portion and a lower portion. The inorganic silicon-containing overhang structures define deposition angles for each of the OLED material and the cathode such the OLED material does not contact sidewalls of the inorganic silicon-containing overhang structures.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 59/173 - Affichages à OLED à matrice passive comprenant des bords ou des masques d'ombre
  • H10K 59/80 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément organique émetteur de lumière couvert par le groupe - Détails de structure
  • H10K 59/12 - Affichages à OLED à matrice active [AMOLED]
  • H10K 71/60 - Formation de régions ou de couches conductrices, p. ex. d’électrodes

25.

METHODS FOR DEPOSITING BLOCKING LAYERS ON CONDUCTIVE SURFACES

      
Numéro d'application 18201442
Statut En instance
Date de dépôt 2023-05-24
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Kalutarage, Lakmal C.
  • Bhuyan, Bhaskar Jyoti
  • Dangerfield, Aaron
  • Liu, Feng Q.
  • Saly, Mark
  • Haverty, Michael
  • Kaliappan, Muthukumar

Abrégé

Methods of selectively depositing blocking layers on conductive surfaces over dielectric surfaces are described. In some embodiments, a 4-8 membered substituted heterocycle is exposed to a substrate to selectively form a blocking layer. In some embodiments, a layer is selectively deposited on the dielectric surface after the blocking layer is formed. In some embodiments, the blocking layer is removed.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/56 - Post-traitement
  • H01L 21/32 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour former des couches isolantes en surface, p.ex. pour masquer ou en utilisant des techniques photolithographiques; Post-traitement de ces couches; Emploi de matériaux spécifiés pour ces couches en utilisant des masques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

26.

ELECTROSTATIC CHUCK DESIGN WITH IMPROVED CHUCKING AND ARCING PERFORMANCE

      
Numéro d'application 18201086
Statut En instance
Date de dépôt 2023-05-23
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Khaja, Abdul Aziz
  • Parimi, Venkata Sharat Chandra
  • Bobek, Sarah Michelle
  • Kulshreshtha, Prashant Kumar
  • Prabhakar, Vinay K.

Abrégé

Aspects of the present disclosure relate to one or more implementations of a substrate support for a processing chamber. In one implementation, a substrate support includes a body having a center, and a support surface on the body configured to at least partially support a substrate. The substrate support includes a first angled wall that extends upward and radially outward from the support surface, and a first upper surface disposed above the support surface. The substrate support also includes a second angled wall that extends upward and radially outward from the first upper surface, the first upper surface extending between the first angled wall and the second angled wall. The substrate support also includes a second upper surface extending from the second angled wall. The second upper surface is disposed above the first upper surface.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

27.

DUAL CHANNEL SHOWERHEAD ASSEMBLY

      
Numéro d'application 17699971
Statut En instance
Date de dépôt 2022-03-21
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Iyengar, Prahallad
  • Kashyap, Dhritiman Subha
  • Swaroop, Parth
  • Radhakrishnan, Satish

Abrégé

Dual channel showerhead assemblies are described. In some embodiments, the dual channel showerhead assemblies, which include a showerhead upper plate and a showerhead lower plate, enable delivery of mutually incompatible precursors along separate channels that mix in the process zone above a wafer. The dual channel showerhead assemblies provide at least two separate gas paths. In some embodiments, the hole design and hole distribution are configured for minimal jetting effect and plenum volumes for fast purging. The dual channel showerhead assemblies described herein may have a reduced purge out time compared to single channel showerheads, spiral dual channel showerheads, and bonded dual channel showerheads.

Classes IPC  ?

  • B05B 7/00 - Appareillages de pulvérisation pour débiter des liquides ou d'autres matériaux fluides provenant de plusieurs sources, p.ex. un liquide et de l'air, une poudre et un gaz
  • B05B 1/18 - Pommes d'arrosoir; Pommes de douche

28.

INTEGRATED SHOWERHEAD

      
Numéro d'application 17696594
Statut En instance
Date de dépôt 2022-03-16
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Berger, Alexander
  • Xu, Ming

Abrégé

A showerhead for a processing chamber includes a faceplate with a plurality of openings. A plurality of compartments are recessed into a top surface of the faceplate. The showerhead includes a plurality of MEMS devices. Each MEMS device is disposed in a corresponding compartment of the plurality of compartments. A printed circuit board including a plurality of ports therethrough is coupled to each MEMS device. Each MEMS device is configured to regulate a gas flow into each corresponding compartment through a corresponding port of the plurality of ports in the printed circuit board.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • B81B 7/04 - Réseaux ou matrices de dispositifs à microstructure semblables
  • H05K 1/02 - Circuits imprimés - Détails
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

29.

CHAMBER CONFIGURATIONS AND PROCESSES FOR PARTICLE CONTROL

      
Numéro d'application 18324688
Statut En instance
Date de dépôt 2023-05-26
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wu, Fei
  • Khaja, Abdul Aziz
  • Ha, Sungwon
  • Balasubramanian, Ganesh
  • Prabhakar, Vinay

Abrégé

Exemplary processing methods may include forming a plasma of a cleaning precursor in a remote region of a semiconductor processing chamber. The methods may include flowing plasma effluents of the cleaning precursor into a processing region of the semiconductor processing chamber. The methods may include contacting a substrate support with the plasma effluents for a first period of time. The methods may include lowering the substrate support from a first position to a second position while continuing to flow plasma effluents of the cleaning precursor. The methods may include cleaning the processing region of the semiconductor processing chamber for a second period of time.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

30.

APPARATUS AND METHOD FOR DELIVERING A PLURALITY OF WAVEFORM SIGNALS DURING PLASMA PROCESSING

      
Numéro d'application 18199519
Statut En instance
Date de dépôt 2023-05-19
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Rogers, James
  • Kawasaki, Katsumasa

Abrégé

Embodiments of the present disclosure generally relate to a system used in a semiconductor device manufacturing process. More specifically, embodiments provided herein generally include apparatus and methods for synchronizing and controlling the delivery of an RF bias voltage signal and a pulsed voltage waveform to one or more electrodes within a plasma processing chamber. Embodiments of the disclosure include a method and apparatus for synchronizing a pulsed radio frequency (RF) waveform to a pulsed voltage (PV) waveform, such that the pulsed RF waveform is on during a first stage of the PV waveform and off during a second stage. The first stage of the PV waveform includes a sheath collapse stage. The second stage of the PV waveform includes an ion current stage.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

31.

PROCESS CHARACTERIZATION AND CORRECTION USING OPTICAL WALL PROCESS SENSOR (OWPS)

      
Numéro d'application 17696791
Statut En instance
Date de dépôt 2022-03-16
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Au, Jeffrey Yat Shan
  • Maher, Joshua Thomas
  • Chakarian, Varoujan
  • Bhatia, Sidharth
  • Tae, Patrick
  • Zhu, Zhaozhao
  • Erickson, Blake W.

Abrégé

A method includes receiving, by a processing device, first sensor data indicating a state of a wall corresponding to a first processing chamber. The first sensor data includes optical spectral data. The method further includes determining, by the processing device, a first value based on the first sensor data. The first value corresponds to a first amount of a product disposed along a surface of the wall at a first time. The method further includes determining, by the processing device, a first update to a first process operation associated with the first processing chamber based on the first value. The method further includes performing, by the processing device, one or more of (i) preparing a notification indicating the first update for presentation on a graphical user interface (GUI), or (ii) causing performance of the first process operation in accordance with the first update.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

32.

PROCESS CHARACTERIZATION AND CORRECTION USING OPTICAL WALL PROCESS SENSOR (OWPS)

      
Numéro d'application 17696794
Statut En instance
Date de dépôt 2022-03-16
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Au, Jeffrey Yat Shan
  • Bhatia, Sidharth
  • Zhu, Zhaozhao
  • Pica, Nicholas Ryan
  • Chakarian, Varoujan
  • Hu, Chenfei

Abrégé

A method includes receiving, by a processing device, first data from an optical sensor of a processing chamber. The method further includes processing the first data to obtain second data. The second data includes an indication of a condition of a coating on an interior surface of the processing chamber. The method further includes generating an indication of performance of a processing operation of the processing chamber in view of the second data. The method further includes causing performance of a corrective action in view of the indication of performance of the processing chamber.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • G01N 21/01 - Dispositions ou appareils pour faciliter la recherche optique
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • G01N 21/94 - Recherche de souillures, p.ex. de poussières

33.

RESIST MODELING METHOD FOR ANGLED GRATINGS

      
Numéro d'application 18123085
Statut En instance
Date de dépôt 2023-03-17
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Yongan
  • Xu, Jin
  • Wilkinson, William
  • Godet, Ludovic

Abrégé

Methods of forming a resist model for angled gratings on optical devices. In one example, a method includes designing a model with a model area and a verification area with initial mask patterns having a first grating pattern with a first angle and a first critical dimension and fabricating test masks with the model area having a first model angle and a first model critical dimension and the verification area having a first verification angle and a first verification critical dimension. The method also includes patterning a substrate with the test masks, measuring the first model angle, the first model critical dimension, the first verification angle and the first verification critical dimension, and fabricating a new device mask if the first verification angle is within the threshold range of the first desired angle and the first verification critical dimension is within the threshold range of the first desired critical dimension.

Classes IPC  ?

  • G02B 27/00 - Systèmes ou appareils optiques non prévus dans aucun des groupes ,

34.

EDGE RING OR PROCESS KIT FOR SEMICONDUCTOR PROCESS MODULE

      
Numéro d'application 18201698
Statut En instance
Date de dépôt 2023-05-24
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • D'Ambra, Allen L.
  • Tulshibagwale, Sheshraj L.

Abrégé

The present invention generally relates method and apparatus for detecting erosion to a ring assembly used in an etching or other plasma processing chamber. In one embodiment, a method begins by obtaining a metric indicative of wear on a ring assembly disposed on a substrate support in a plasma processing chamber prior to processing with plasma in the plasma processing chamber. The metric for the ring assembly is monitored with a sensor. A determination is made if the metric exceeds a threshold and generating a signal in response to the metric exceeding the threshold.

Classes IPC  ?

  • G01N 21/64 - Fluorescence; Phosphorescence
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

35.

DYNAMIC INTERFACE FOR PROVIDING A SYMMETRIC RADIO FREQUENCY RETURN PATH

      
Numéro d'application 18323941
Statut En instance
Date de dépôt 2023-05-25
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Patil, Ravikumar

Abrégé

Exemplary substrate processing system may include a chamber body that defines a processing region. The systems may include a liner positioned atop the chamber body. The liner may include first disconnect members. The systems may include a faceplate that is positioned atop the liner. The systems may include a support disposed within the chamber body. The support may include a plate comprising a heater. The plate may include second disconnect members. The support may include a shaft coupled with the plate. The support may include a dynamic plate disposed about the shaft below the plate. The support may include metallic straps that couple the plate with the dynamic plate. The dynamic plate may include inner disconnect members and outer disconnect members. Inner disconnect members may be engageable with second disconnect members in a transfer position. Outer disconnect members may be engageable with first disconnect members in a process position.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

36.

ION IMPLANTATION FOR REDUCED HYDROGEN INCORPORATION IN AMORPHOUS SILICON

      
Numéro d'application 18016926
Statut En instance
Date de dépôt 2021-07-21
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cheng, Rui
  • Prasad, Rajesh
  • Janakiraman, Karthik
  • Hemani, Gautam K.
  • Nittala, Krishna
  • Tang, Shan
  • Gao, Qi

Abrégé

Exemplary methods of semiconductor processing may include forming a layer of amorphous silicon on a semiconductor substrate. The layer of amorphous silicon may be characterized by a first amount of hydrogen incorporation. The methods may include performing a beamline ion implantation process or plasma doping process on the layer of amorphous silicon. The methods may include removing hydrogen from the layer of amorphous silicon to a second amount of hydrogen incorporation less than the first amount of hydrogen incorporation.

Classes IPC  ?

  • H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

37.

TUNGSTEN DEFLUORINATION BY HIGH PRESSURE TREATMENT

      
Numéro d'application 18202838
Statut En instance
Date de dépôt 2023-05-26
Date de la première publication 2023-09-21
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wong, Keith Tatseun
  • Kwon, Thomas Jongwan
  • Kang, Sean
  • Yieh, Ellie Y.

Abrégé

An annealing system is provided that includes a chamber body that defines a chamber, a support to hold a workpiece and a robot to insert the workpiece into the chamber. The annealing system also includes a first gas supply to provide a hydrogen gas, a pressure source coupled to the chamber to raise a pressure in the chamber to at least 5 atmospheres, and a controller configured to cause the robot to transport a workpiece having a metal film thereon into the chamber, where the metal film contains fluorine on a surface or embedded within the metal film, to cause the first gas supply to supply the hydrogen gas to the chamber and form atomic hydrogen therein, and to cause the pressure source to raise a pressure in the chamber to at least 5 atmospheres while the workpiece is held on the support in the chamber.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/14 - Dépôt d'un seul autre élément métallique
  • H10B 69/00 - Dispositifs de mémoire morte reprogrammable [EPROM] non couverts par les groupes , p.ex. dispositifs de mémoire morte reprogrammable aux ultraviolets [UVEPROM]
  • C23C 16/08 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir d'halogénures métalliques
  • C23C 16/56 - Post-traitement
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

38.

METAL DEPOSITION AND ETCH IN HIGH ASPECT-RATIO FEATURES

      
Numéro d'application 17689092
Statut En instance
Date de dépôt 2022-03-08
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wang, Baiwei
  • Reddy, Rohan Puligoru
  • Chen, Xiaolin C.
  • Cui, Zhenjiang
  • Wang, Anchuan

Abrégé

Exemplary methods of etching may include flowing a fluorine-containing precursor and a secondary gas into a processing region of a semiconductor processing chamber. The secondary gas may be or include oxygen or nitrogen. A flow rate ratio of the fluorine-containing precursor to the secondary gas may be greater than or about 1:1. The methods may include contacting a substrate with the fluorine-containing precursor and the secondary gas. The substrate may include an exposed metal. The substrate may define a high aspect-ratio structure. The methods may include etching the exposed metal within the high aspect-ratio structure.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 27/11524 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par la région noyau de mémoire avec transistors de sélection de cellules, p.ex. NON-ET
  • H01L 27/1157 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec isolateurs de grille à piégeage de charge, p.ex. MNOS ou NROM caractérisées par la région noyau de mémoire avec transistors de sélection de cellules, p.ex. NON-ET
  • H01L 27/11582 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec isolateurs de grille à piégeage de charge, p.ex. MNOS ou NROM caractérisées par des agencements tridimensionnels, p.ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. canaux en forme de U
  • H01L 27/11556 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par des agencements tridimensionnels, p.ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U

39.

MULTILAYER COATING FOR CORROSION RESISTANCE

      
Numéro d'application 17691384
Statut En instance
Date de dépôt 2022-03-10
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tavakoli, Amir H.
  • Kaushal, Tony S.
  • Reimer, Peter
  • Jorgensen, David

Abrégé

Exemplary methods of coating a metal-containing component are described. The methods are developed to increase corrosion resistance and improve coating adhesion to a metal substrate. The methods include forming a bonding layer on a metal substrate, where the bonding layer includes an oxide of a metal in the metal substrate. The coating methods further include depositing a stress buffer layer on the bonding layer, where the stress buffer layer is characterized by a stress buffer layer coefficient of thermal expansion (CTE) that is less than a metal substrate CTE and a bonding layer CTE. The coating methods also include depositing an environmental barrier layer on the stress buffer layer, where a ratio of the metal substrate CTE to an environmental barrier layer CTE is greater than or about 20:1, and where the environmental barrier layer includes silicon oxide. The metal-containing components may be used in fabrication equipment for electronic devices.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/40 - Oxydes
  • C04B 35/622 - Procédés de mise en forme; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques
  • C04B 35/12 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base d'oxydes à base d'oxyde de chrome
  • C04B 35/10 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base d'oxydes à base d'oxyde d'aluminium
  • C04B 35/14 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base d'oxydes à base de silice

40.

APPARATUS FOR ENVIRONMENTAL CONTROL OF DIES AND SUBSTRATES FOR HYBRID BONDING

      
Numéro d'application 17692671
Statut En instance
Date de dépôt 2022-03-11
Date de la première publication 2023-09-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Wang, Ying
  • Dai, Xundong
  • See, Guan Huei
  • Wang, Ruiping
  • Rice, Michael R.
  • Ponnekanti, Hari Kishen
  • Maity, Nirmalya

Abrégé

Apparatus for extending substrate queue time for hybrid bonding by preserving plasma activation. In some embodiments, the apparatus may include an environmentally controllable space with a support for holding a die or a substrate, a gas velocity accelerator that recirculates one or more gases laterally across the support, a filter, a humidifier apparatus that is fluidly connected to the environmentally controllable space, wherein the humidifier apparatus enables controllable humidity levels within the environmentally controllable space, a pressurizing apparatus fluidly connected to the humidifier apparatus on an output and fluidly connected to at least one gas supply on an input, a relative humidity (RH) sensor positioned within the environmentally controllable space, and an environment controller in communication with at least the humidifier apparatus and the RH sensor, wherein the environment controller is configured to maintain an RH level of approximately 80% to approximately 95%.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

41.

PACKAGE IMAGING FOR DIE LOCATION CORRECTION IN DIGITAL LITHOGRAPHY

      
Numéro d'application 17693356
Statut En instance
Date de dépôt 2022-03-12
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Mueller, Ulrich
  • Wang, Hsiu-Jen
  • Kuo, Shih-Hao
  • Chen, Jang Fung

Abrégé

Actual physical locations of dies on a substrate package may be identified without using a full metrology scan of the substrate. Instead, one or more cameras may be used to efficiently locate the approximate location of any of the alignment features based on their expected positioning in the design file for the packages are substrate. The cameras may then be moved to locations where alignment features should be, and images may be captured to determine the actual location of the alignment feature. These actual locations of the alignment features may then be used to identify coordinates for the dies, as well as rotations and/or varying heights of the dies on the packages. A difference between the expected location from the design file and the actual physical location may be used to adjust instructions for the digital lithography system to compensate for the misalignment of the dies.

Classes IPC  ?

  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G01B 11/27 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour tester l'alignement des axes pour tester l'alignement des axes
  • G03F 7/20 - Exposition; Appareillages à cet effet

42.

Variable Rotation Rate Batch Implanter

      
Numéro d'application 17694028
Statut En instance
Date de dépôt 2022-03-14
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Todorov, Stanislav S.
  • Mitchell, Robert J.
  • Olson, Joseph C.
  • Sinclair, Frank

Abrégé

A system comprising a spinning disk is disclosed. The system comprises a semiconductor processing system, such as a high energy implantation system. The semiconductor processing system produces a spot ion beam, which is directed to a plurality of workpieces, which are disposed on the spinning disk. The spinning disk comprises a rotating central hub with a plurality of platens. The spinning disk rotates about a central axis. The spinning disk is also translated linearly in a directional perpendicular to the central axis. The spot ion beam strikes the spinning disk at a distance from the central axis, referred to as the radius of impact. The rotation rate and the scan velocity may both vary inversely with the radius of impact.

Classes IPC  ?

43.

Non-Halide Oxygen-Free Organometallic Precursors for ALD/CVD of Metallization

      
Numéro d'application 17694627
Statut En instance
Date de dépôt 2022-03-14
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Yoon, Byunghoon
  • Barsukov, Yuri Vladimirovich
  • Gelatos, Avgerinos V.
  • Lee, Joung Joo

Abrégé

Methods for depositing a film using a non-halide oxygen-free organometallic precursors are disclosed. The method includes forming the film on a substrate surface by exposing the surface to the precursor and a reducing agent, the precursor has a general formula (1): M-L1L2, wherein M is a metal, L1 is a first aromatic ligand having a hapticity selected from η3, η5, or η6, L2 is a ligand having a hapticity selected from of η3, η4, η5, η6, η7, η8, η9 or η10. The first aromatic ligand, L1, may include a structure according to formula (II) Methods for depositing a film using a non-halide oxygen-free organometallic precursors are disclosed. The method includes forming the film on a substrate surface by exposing the surface to the precursor and a reducing agent, the precursor has a general formula (1): M-L1L2, wherein M is a metal, L1 is a first aromatic ligand having a hapticity selected from η3, η5, or η6, L2 is a ligand having a hapticity selected from of η3, η4, η5, η6, η7, η8, η9 or η10. The first aromatic ligand, L1, may include a structure according to formula (II) Methods for depositing a film using a non-halide oxygen-free organometallic precursors are disclosed. The method includes forming the film on a substrate surface by exposing the surface to the precursor and a reducing agent, the precursor has a general formula (1): M-L1L2, wherein M is a metal, L1 is a first aromatic ligand having a hapticity selected from η3, η5, or η6, L2 is a ligand having a hapticity selected from of η3, η4, η5, η6, η7, η8, η9 or η10. The first aromatic ligand, L1, may include a structure according to formula (II) wherein each of R1, R2, R3, R4, R5 and R6 is independently selected from a group consisting of H, methyl, ethyl, n-propyl, isopropyl, n-butyl and iso-butyl. The ligand, L2, can be independently selected from a group consisting of hexa-1,3,5-triene, 2-methylene-1,3-propanediyl, 1,2-diethenylcyclohex-1-ene, cyclooctatetraene, cyclooctatetraenide anion, styrene, o-quinodimethane, phenyl thiocyanate, phenyl isothiocyanate, (3-methylphenyl)-methylene and derivatives thereof.

Classes IPC  ?

  • C07F 11/00 - Composés contenant des éléments des groupes 6 ou 16 de la classification périodique
  • C07F 15/00 - Composés contenant des éléments des groupes 8, 9, 10 ou 18 de la classification périodique
  • C09D 1/00 - Compositions de revêtement, p.ex. peintures, vernis ou vernis-laques, à base de substances inorganiques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques

44.

METHODS TO IMPROVE PROCESS WINDOW AND RESOLUTION FOR DIGITAL LITHOGRAPHY WITH TWO EXPOSURES

      
Numéro d'application 18006249
Statut En instance
Date de dépôt 2021-09-09
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Tsai, Chi-Ming

Abrégé

Embodiments described herein relate to methods of printing double exposure patterns in a lithography environment. The methods include determining a second exposure pattern to be exposed with a first exposure pattern in a lithography process. The second exposure pattern is determined with a rule-based process flow or a lithography model process flow.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

45.

DISPLAY PIXELS MADE FROM STACKED MICRO-LED STRUCTURES AND PHOTOLUMINESCENT MATERIALS

      
Numéro d'application 18182856
Statut En instance
Date de dépôt 2023-03-13
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Li, Zhiyong
  • Zhu, Mingwei
  • Ng, Hou T.
  • Patibandla, Nag
  • Xu, Lisong
  • Ding, Kai
  • Ganapathiappan, Sivapackia

Abrégé

Exemplary pixel structures are described that include a first light emitting diode structure, operable to generate blue light characterized by a peak emission wavelength of greater than or about 450 nm, and a second light emitting diode structure positioned on the first light emitting diode structure. The second light emitting diode structure is operable to generate ultraviolet light characterized by a peak emission wavelength of less than or about 380 nm. The pixel structures may also include a photoluminescent region, containing a photoluminescent material, that is positioned on the second light emitting diode structure.

Classes IPC  ?

  • H01L 33/50 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de conversion de la longueur d'onde
  • H01L 33/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails
  • H01L 25/075 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

46.

VAPOR PHASE THERMAL ETCH SOLUTIONS FOR METAL OXO PHOTORESISTS

      
Numéro d'application 18198743
Statut En instance
Date de dépôt 2023-05-17
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Kalutarage, Lakmal Charidu
  • Saly, Mark Joseph
  • Bhuyan, Bhaskar Jyoti
  • Sachan, Madhur
  • Freed, Regina

Abrégé

Embodiments disclosed herein include methods of developing a metal oxo photoresist. In an embodiment, the method comprises providing a substrate with the metal oxo photoresist into a vacuum chamber, where the metal oxo photoresist comprises exposed regions and unexposed regions. In an embodiment, the unexposed regions comprise a higher carbon concentration than the exposed regions. The method may further comprise vaporizing a halogenating agent into the vacuum chamber, where the halogenating agent reacts with either the unexposed regions or the exposed regions to produce a volatile byproduct. In an embodiment, the method may further comprise purging the vacuum chamber.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • G03F 7/26 - Traitement des matériaux photosensibles; Appareillages à cet effet
  • C23F 4/02 - Procédés pour enlever des matériaux métalliques des surfaces, non couverts par le groupe ou par évaporation
  • G03F 7/004 - Matériaux photosensibles
  • G03F 7/36 - Dépouillement selon l'image non couvert par les groupes , p.ex. utilisant un courant gazeux, un plasma

47.

TRAINED NEURAL NETWORK IN IN-SITU MONITORING DURING POLISHING AND POLISHING SYSTEM

      
Numéro d'application 18200244
Statut En instance
Date de dépôt 2023-05-22
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Kun
  • Shrestha, Kiran Lall
  • Bennett, Doyle E.
  • Gage, David Maxwell
  • Cherian, Benjamin
  • Qian, Jun
  • Lee, Harry Q.

Abrégé

A method of polishing a substrate includes polishing a conductive layer on the substrate at a polishing station, monitoring the layer with an in-situ eddy current monitoring system to generate a plurality of measured signals values for a plurality of different locations on the layer, generating thickness measurements the locations, and detecting a polishing endpoint or modifying a polishing parameter based on the thickness measurements. The conductive layer is formed of a first material having a first conductivity. Generating includes calculating initial thickness values based on the plurality of measured signals values and processing the initial thickness values through a neural network that was trained using training data acquired by measuring calibration substrates having a conductive layer formed of a second material having a second conductivity that is lower than the first conductivity to generated adjusted thickness values.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06N 3/08 - Méthodes d'apprentissage
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe
  • G06F 17/15 - Calcul de fonction de corrélation
  • B24B 37/013 - Dispositifs ou moyens pour détecter la fin de l'opération de rodage
  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
  • H01L 21/321 - Post-traitement

48.

EROSION RESISTANT METAL OXIDE COATINGS DEPOSITED BY ATOMIC LAYER DEPOSITION

      
Numéro d'application 18139570
Statut En instance
Date de dépôt 2023-04-26
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wu, Xiaowei
  • Sun, Jennifer Y.
  • Rice, Michael R.

Abrégé

Embodiments of the present disclosure relate to articles, coated articles and methods of coating such articles with a rare earth metal containing oxide coating. A method of co-depositing a rare earth metal containing oxide coating on a surface of an article is disclosed. The method includes contacting the article surface with a first or second metal containing precursor to form a partial metal adsorption layer of a first metal or a second metal. The method further includes contacting the partial metal adsorption layer with the first or second metal containing precursor to form a co-adsorption layer of the first metal and the second metal. The method further includes contacting the co-adsorption layer with a reactant to form the rare earth metal containing oxide coating.

Classes IPC  ?

  • C04B 35/48 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base d'oxydes à base d'oxydes de zirconium ou d'hafnium ou de zirconates ou d'hafnates
  • C04B 35/50 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base de composés de terres rares
  • C04B 35/505 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base de composés de terres rares à base d'oxyde d'yttrium
  • C09D 1/00 - Compositions de revêtement, p.ex. peintures, vernis ou vernis-laques, à base de substances inorganiques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

49.

MODULAR MULTl-DIRECTIONAL GAS MIXING BLOCK

      
Numéro d'application 18181959
Statut En instance
Date de dépôt 2023-03-10
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Benjamin Raj, Daemian Raj
  • Garikipati, Kiran
  • Langeland, Kurt R.
  • Alam, Syed A.

Abrégé

Exemplary modular gas blocks may include a body having inlet and outlet ends. The body may define a portion of a first gas path along a length of the body and may define a second gas path along a width of the body. The first gas path may include channel segments defined within the body. The inlet end may define a gas inlet that is coupled with the first gas path. The body may define first fluid ports that are coupled with the first gas path. A fluid port of the first fluid ports may be coupled with the gas inlet. The first fluid ports may be coupled with one another via a respective channel segment. An upper surface may define a lateral fluid port that is spaced apart from a first fluid port along the width and is coupled with the first fluid port via the second gas path.

Classes IPC  ?

  • F16L 41/03 - Ensembles de branchements, p.ex. d'une seule pièce, soudés à l'autogène, rivetés comportant des pièces d'assemblage pour quatre tuyaux ou plus
  • G05D 11/13 - Commande du rapport des débits de plusieurs matériaux fluides ou fluents caractérisée par l'usage de moyens électriques
  • B01F 25/43 - Tubes de mélange, p.ex. dans lesquels la matière est déplacée dans une direction radiale ou partiellement inversée

50.

CLEANING METHOD WITH IN-LINE SPM PROCESSING

      
Numéro d'application 18198174
Statut En instance
Date de dépôt 2023-05-16
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Brown, Brian J.
  • Mikhaylichenko, Ekaterina A.
  • Kirkpatrick, Brian K.

Abrégé

A method for removing particulates from a plurality of substrates includes opening a first access port in a top of a first container holding a cleaning fluid bath, inserting a first substrate through the first access port to a first support, closing the first access port, opening a second access port in the top of the first container, inserting a second substrate through the second access port to a second support, closing the second access port, opening the first access port, removing the first substrate through the first access port and delivering the first substrate into a rinsing station, closing the first access port, opening the second access port, removing the second substrate through the second access port and delivering the second substrate into the rinsing station, and closing the second access port.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • B08B 3/08 - Nettoyage impliquant le contact avec un liquide le liquide ayant un effet chimique ou dissolvant
  • B08B 1/00 - Nettoyage par des procédés impliquant l'utilisation d'outils, de brosses ou d'éléments analogues
  • B08B 3/12 - Nettoyage impliquant le contact avec un liquide avec traitement supplémentaire du liquide ou de l'objet en cours de nettoyage, p.ex. par la chaleur, par l'électricité ou par des vibrations par des vibrations soniques ou ultrasoniques
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • B08B 1/04 - Nettoyage par des procédés impliquant l'utilisation d'outils, de brosses ou d'éléments analogues utilisant des éléments actifs rotatifs
  • B08B 13/00 - Accessoires ou parties constitutives, d'utilisation générale, des machines ou appareils de nettoyage
  • B08B 7/04 - Nettoyage par des procédés non prévus dans une seule autre sous-classe ou un seul groupe de la présente sous-classe par une combinaison d'opérations
  • B65G 47/90 - Dispositifs pour saisir et déposer les articles ou les matériaux
  • B08B 3/02 - Nettoyage par la force de jets ou de pulvérisations
  • B08B 3/04 - Nettoyage impliquant le contact avec un liquide
  • B08B 3/14 - Enlèvement des déchets, p.ex. des étiquettes, se trouvant dans le liquide de nettoyage

51.

Retaining Ring Having Inner Surfaces with Facets

      
Numéro d'application 18198337
Statut En instance
Date de dépôt 2023-05-17
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Oh, Jeonghoon
  • Zuniga, Steven M.
  • Nagengast, Andrew J.
  • Hsu, Samuel Chu-Chiang
  • Dandavate, Gautam Shashank

Abrégé

A retaining ring comprises a generally annular body. The body comprises a top surface, a bottom surface, an outer surface connected to the top surface at an outer top perimeter and the bottom surface at an outer bottom perimeter, and an inner surface connected to the top surface at an inner top perimeter and the bottom surface at an inner bottom perimeter. The inner surface comprises seven or more planar facets. Adjacent planar facets are connected at corners. The inner bottom perimeter comprises straight edges of the planar facets connected at the corners.

Classes IPC  ?

  • H01L 21/321 - Post-traitement
  • B24B 37/04 - Machines ou dispositifs de rodage; Accessoires conçus pour travailler les surfaces planes
  • B24B 41/06 - Supports de pièces, p.ex. lunettes réglables
  • B24B 37/32 - Bagues de retenue

52.

FILTERING DURING IN-SITU MONITORING OF POLISHING

      
Numéro d'application 18198587
Statut En instance
Date de dépôt 2023-05-17
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Dhandapani, Sivakumar

Abrégé

A method of controlling polishing includes polishing a substrate, monitoring the substrate during polishing with an in-situ monitoring system, filtering a signal from the monitoring system to generate a filtered signal, and determining at least one of a polishing endpoint or an adjustment for a polishing rate from the filtered signal. The filtering includes modelling a plurality of periodic disturbances at a plurality of different frequencies using a plurality of disturbance states, modelling an underlying signal using a plant state, and applying a linear prediction filter to the plant state and the plurality of disturbance states to generate a filtered signal representing the underlying signal.

Classes IPC  ?

  • B24B 37/013 - Dispositifs ou moyens pour détecter la fin de l'opération de rodage
  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques

53.

TUNGSTEN MOLYBDENUM STRUCTURES

      
Numéro d'application 17654077
Statut En instance
Date de dépôt 2022-03-09
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cen, Xi
  • Wu, Kai
  • Wang, Dixiong
  • Luo, Yi

Abrégé

A structure is provided including a substrate and a tungsten-containing layer. The tungsten-containing layer includes a nucleation layer disposed on the substrate and a bulk layer is disposed over the nucleation layer. The nucleation layer includes tungsten and the bulk layer includes about 0.1% to about 20% atomic molybdenum. The tungsten-containing layer includes a film stress of about 350 MPa to about 450 MPa.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • C23C 16/14 - Dépôt d'un seul autre élément métallique

54.

Lid Separation Device For Vacuum Chamber

      
Numéro d'application 17689453
Statut En instance
Date de dépôt 2022-03-08
Date de la première publication 2023-09-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Venkatagiriyappa, Vijayabhaskara
  • Ramakrishna, Srinivas
  • Sanchez, Mario Daniel

Abrégé

Lid separators for vacuum processing chamber lid separation and vacuum processing chambers incorporating same are provided herein. In some embodiments, a lid separator for a vacuum processing chamber includes: a shaft having a first end and an opposing second end, wherein the shaft is threaded along at least a first portion of the shaft; and a contact pad having an outer diameter greater than an outer diameter of the shaft, a recess disposed in a first side of the contact pad, and a central opening disposed through a second side of the contact pad, opposite the first side, and into the recess, wherein the shaft is coupled to the contact pad, wherein the first end of the shaft extends through the central opening and into the recess without reaching the first side of the contact pad, and wherein the first portion and the second end of the shaft extend away from the second side of the contact pad.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

55.

HEAT SHIELD ASSEMBLIES FOR MINIMIZING HEAT RADIATION TO PUMP OF PROCESS CHAMBER

      
Numéro d'application 17690644
Statut En instance
Date de dépôt 2022-03-09
Date de la première publication 2023-09-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Huderi Somanna, Dinkesh
  • Moradian, Ala
  • Dickinson, Colin John
  • Subbanna, Manjunath

Abrégé

Embodiments of heat shield assemblies for a processing chamber are provided herein. In some embodiments, a heat shield assembly for a processing chamber includes: a first shield comprising a circular plate; a second shield coupled to the first shield and in a parallel configuration with the first shield, wherein the second shield has an outer diameter greater than an outer diameter of the first shield and the second shield includes a central opening having a diameter smaller than an outer diameter of the first shield; and a third shield coupled to and in a parallel configuration with the second shield, wherein an outer diameter of the third shield is greater than the diameter of the central opening of the second shield.

Classes IPC  ?

56.

EDDY CURRENT MONITORING TO DETECT VIBRATION IN POLISHING

      
Numéro d'application 17691101
Statut En instance
Date de dépôt 2022-03-09
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Kun
  • Higashi, Patrick A.
  • Iravani, Hassan G.
  • Lee, Harry Q.
  • Wu, Haosheng
  • Wu, Eric T.
  • Cui, Ningzhuo
  • Oh, Jeonghoon
  • Lai, Christopher
  • Qian, Jun

Abrégé

A body is brought into contact with a polishing pad of a polishing system, a polishing liquid is supplied to the polishing pad, relative motion between the body and the polishing pad is generated while the body contacts the polishing pad, a signal from an in-situ eddy current monitoring system during the relative motion while the body contacts the polishing pad, generating, and mechanical vibrations in the polishing system are detected based on a signal from the in-situ eddy current monitoring system.

Classes IPC  ?

  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
  • B24B 37/26 - Tampons de rodage pour travailler les surfaces planes caractérisés par la forme ou le profil de la surface du tampon de rodage, p.ex. rainurée

57.

TRANSISTOR DEVICES WITH MULTI-LAYER INTERLAYER DIELECTRIC STRUCTURES

      
Numéro d'application 17691548
Statut En instance
Date de dépôt 2022-03-10
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Tsai, Yun-Chu
  • Fan, Dejiu
  • Kim, Jung Bae
  • Bae, Yang Ho
  • Lim, Rodney Shunleong
  • Yim, Dong Kil

Abrégé

A transistor device includes a channel region, a first source/drain region adjacent to a first end of the channel region and a second source/drain region adjacent to a second end of the channel region, a gate structure disposed on the channel region, the first source/drain region and the second source/drain region, and an interlayer dielectric (ILD) structure disposed on the gate structure. The ILD structure includes a first dielectric layer including a first set of sublayers. The first set of sublayers includes a first sublayer including a first dielectric material having a first hydrogen concentration and a second sublayer including the first dielectric material having a second hydrogen concentration lower than the first hydrogen concentration. The ILD structure further includes a second dielectric layer including a second set of sublayers. The second set of sublayers includes a third sublayer including a second dielectric material different from the first dielectric material.

Classes IPC  ?

58.

ADVANCED BARRIER NICKEL OXIDE (BNiO) COATING DEVELOPMENT FOR PROCESS CHAMBER COMPONENTS VIA OZONE TREATMENT

      
Numéro d'application 17692351
Statut En instance
Date de dépôt 2022-03-11
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Kalita, Laksheswar
  • Behnke, Joseph
  • Knapp, David

Abrégé

Described herein is a chamber component including a metal layer comprising nickel and a barrier layer of nickel oxide over the metal layer. The barrier layer of nickel oxide may be formed by ozone treating the chamber component with air, nitrogen or argon O2, O3 at a temperature from about 25° C. to about 350° C.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 18/32 - Revêtement avec l'un des métaux fer, cobalt ou nickel; Revêtement avec des mélanges de phosphore ou de bore et de l'un de ces métaux
  • C23C 14/16 - Matériau métallique, bore ou silicium sur des substrats métalliques, en bore ou en silicium
  • C23C 18/16 - Revêtement chimique par décomposition soit de composés liquides, soit de solutions des composés constituant le revêtement, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement; Dépôt par contact par réduction ou par substitution, p.ex. dépôt sans courant électrique
  • C23C 14/58 - Post-traitement

59.

SEMICONDUCTOR CHAMBER COMPONENTS WITH MULTI-LAYER COATING

      
Numéro d'application 17693037
Statut En instance
Date de dépôt 2022-03-11
Date de la première publication 2023-09-14
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Kalita, Laksheswar
  • Behnke, Joseph F.
  • Doddabelavangala Srikantaia, Deepak
  • Nguyen, Son T.

Abrégé

Exemplary semiconductor processing chambers may include a chamber body. The chambers may include a showerhead. The chambers may include a substrate support. The substrate support may include a platen characterized by a first surface facing the showerhead. The substrate support may include a shaft coupled with the platen along a second surface of the platen opposite the first surface of the platen. The shaft may extend at least partially through the chamber body. A coating may extend conformally about the first surface of the platen. The coating may include a first layer of silicon proximate the first surface of the platen, and may include a second layer of material overlying the first layer of silicon.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

60.

Collimator for use in a physical vapor deposition (PVD) chamber

      
Numéro d'application 29730633
Numéro de brevet D0998575
Statut Délivré - en vigueur
Date de dépôt 2020-04-07
Date de la première publication 2023-09-12
Date d'octroi 2023-09-12
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Riker, Martin Lee
  • Zhang, Fuhong
  • Zhong, Lanlan
  • Kalathiparambil, Kishor Kumar

61.

ENCLOSURE SYSTEM WITH CHARGING ASSEMBLY

      
Numéro d'application 17685112
Statut En instance
Date de dépôt 2022-03-02
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Criminale, Phillip Alfred
  • Guo, Zhiqiang
  • Ho, Andrew S.C.
  • Stolzman, Rachel Sara
  • Hankes, Michael Carl

Abrégé

An enclosure system includes multiple walls forming an interior volume. The enclosure system is configured to couple to an equipment front end module (EFEM) of a substrate processing system. The enclosure system further includes a charging assembly including a first charging coil. The enclosure system further includes one or more first support structures disposed within the interior volume under the first charging coil. The one or more first support structures are configured to support a first validation wafer within a threshold distance of the first charging coil to charge the first validation wafer via the charging assembly.

Classes IPC  ?

  • H02J 7/00 - Circuits pour la charge ou la dépolarisation des batteries ou pour alimenter des charges par des batteries

62.

BIASABLE ROTATING PEDESTAL

      
Numéro d'application 17685272
Statut En instance
Date de dépôt 2022-03-02
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Subramani, Anantha
  • Guo, Yang
  • Fazeli, Seyyed
  • Sundar, Ramcharan
  • Kotrappa, Arun Kumar

Abrégé

Embodiments disclosed herein include an electrostatic chuck. In an embodiment, the electrostatic chuck comprises a pedestal with a support surface for supporting a substrate and a second surface opposite from the support surface, and chucking electrode within the pedestal. In an embodiment, a biasing electrode is within the pedestal, and a heating element is within the pedestal. In an embodiment, the electrostatic chuck further comprises a shaft coupled to the second surface of the pedestal, and a rotation assembly coupled to the shaft to rotate the shaft and the pedestal.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 14/28 - Evaporation sous vide par énergie éléctromagnétique ou par rayonnement corpusculaire
  • C23C 14/50 - Porte-substrat

63.

PLATING AND DEPLATING CURRENTS FOR MATERIAL CO-PLANARITY IN SEMICONDUCTOR PLATING PROCESSES

      
Numéro d'application 17686129
Statut En instance
Date de dépôt 2022-03-03
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Mchugh, Paul R.
  • Sharbono, Charles
  • Xu, Jing
  • Klocke, John L.
  • Lee, Sam K.
  • Ypma, Keith Edward

Abrégé

A method of plating substrates may include placing a substrate in a plating chamber comprising a liquid, and applying a current to the liquid in the plating chamber to deposit a metal on exposed portions of the substrate, where the current may include alternating cycles of a forward plating current and a reverse deplating current. To determine the current characteristics, a model of a substrate may be simulated during the plating process to generate data points that relate characteristics of the plating process and a pattern on the substrate to a range nonuniformity of material formed on the substrate during the plating process. Using information from the data points, values for the forward and reverse currents may be derived and provided to the plating chamber to execute the plating process.

Classes IPC  ?

  • C25D 5/18 - Dépôt au moyen de courant modulé, pulsé ou inversé
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • C25D 5/02 - Dépôt sur des surfaces déterminées
  • C25D 21/12 - Commande ou régulation
  • G06F 30/20 - Optimisation, vérification ou simulation de l’objet conçu

64.

PLASMA SHAPER TO CONTROL ION FLUX DISTRIBUTION OF PLASMA SOURCE

      
Numéro d'application 17686200
Statut En instance
Date de dépôt 2022-03-03
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Likhanskii, Alexandre
  • Kurunczi, Peter F.
  • Hayes, Alan V.

Abrégé

Provided herein are approaches for providing a more uniform ion flux and ion angular distribution across a wafer to minimize etch yield loss resulting from etch profile variations. In some embodiments, a system may include a plasma source operable to generate a plasma within a plasma chamber enclosed by a chamber housing, wherein the plasma source comprises a plasma shaper extending into the plasma chamber from a wall of the chamber housing. The plasma shaper may include a shaper wall coupled to the wall of the chamber housing, and a shaper end wall connected to the shaper wall, the shaper end wall defining an indentation extending towards the wall of the chamber housing.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

65.

SYNTHETIC TIME SERIES DATA ASSOCIATED WITH PROCESSING EQUIPMENT

      
Numéro d'application 17688650
Statut En instance
Date de dépôt 2022-03-07
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Maher, Joshua Thomas

Abrégé

A method includes providing a random or pseudo-random input to a first trained machine learning model trained to generate synthetic sensor time series data for a processing chamber. The method further includes providing first data indicative of one or more attributes of target synthetic sensor time series data to the first trained machine learning model. The method further includes receiving an output from the first trained machine learning model. The output includes synthetic sensor time series data associated with the processing chamber. The output is generated in view of the first data indicative of the one or more attributes.

Classes IPC  ?

  • G06N 3/08 - Méthodes d'apprentissage
  • G06N 3/04 - Architecture, p.ex. topologie d'interconnexion

66.

HALOGEN RESISTANT COATINGS AND METHODS OF MAKING AND USING THEREOF

      
Numéro d'application 18122472
Statut En instance
Date de dépôt 2023-03-16
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Goradia, Prerna
  • Sun, Jennifer Y.
  • Wu, Xiaowei
  • Bajaj, Geetika
  • Chaudhari, Atul
  • Kadam, Ankur

Abrégé

Described herein are articles, systems and methods where a halogen resistant coating is deposited onto a surface of a chamber component using an atomic layer deposition (ALD) process. The halogen resistant coating has an optional amorphous seed layer and a transition metal-containing layer. The halogen resistant coating uniformly covers features of the chamber component, such as those having an aspect ratio of about 3:1 to about 300:1.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/27 - Le diamant uniquement
  • C23C 16/40 - Oxydes

67.

METHOD AND APPARATUS FOR CONTINUOUS SUBSTRATE CASSETTE LOADING

      
Numéro d'application 18196721
Statut En instance
Date de dépôt 2023-05-12
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Schlezinger, Asaf
  • Stopper, Markus J.

Abrégé

A method and apparatus for loading substrates in an inspection station is disclosed herein. In one embodiment a loading module is disclosed that includes a loading station for two or more substrate cassettes, a first lane comprising a first conveyor that is substantially aligned with one of the two or more substrate cassettes and a conveyor system, a second lane comprising a second conveyor that is substantially aligned with another of the two or more substrate cassettes and positioned in a spaced-apart relation relative to the first lane, and a lateral transfer module positioned between the first lane and the second lane that is adapted to move substrates from the second lane to the first lane.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • B65G 47/26 - Dispositifs pour influencer la position relative ou l'orientation des objets pendant le transport par transporteurs arrangeant les objets, p.ex. faisant varier l'espace entre chaque objet
  • B65G 47/52 - Dispositifs pour transférer objets ou matériaux entre transporteurs, p.ex. pour décharger ou alimenter

68.

THICKNESS MEASUREMENT OF SUBSTRATE USING COLOR METROLOGY

      
Numéro d'application 18198053
Statut En instance
Date de dépôt 2023-05-16
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Benvegnu, Dominic J.

Abrégé

A metrology system for obtaining a measurement representative of a thickness of a layer on a substrate includes a camera positioned to capture a color image of at least a portion of the substrate. A controller is configured to receive the color image from the camera, store a predetermined path in a coordinate space of at least two dimension including a first color channel and a second color channel, store a function that provides a value representative of a thickness as a function of a position on the predetermined path, determine a coordinate of a pixel in the coordinate space from color data in the color image for the pixel, determine a position of a point on the predetermined path that is closest to the coordinate of the pixel, and calculate a value representative of a thickness from the function and the position of the point on the predetermined path.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G06T 7/90 - Détermination de caractéristiques de couleur
  • B24B 37/013 - Dispositifs ou moyens pour détecter la fin de l'opération de rodage
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

69.

Polishing Pad with Secondary Window Seal

      
Numéro d'application 18295250
Statut En instance
Date de dépôt 2023-04-03
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Alagarsamy, Rajkumar
  • Hu, Yongqi
  • Yavelberg, Simon
  • Gopalan, Periya
  • Mahon, Christopher R.

Abrégé

A polishing article has a polishing surface and an aperture, the aperture including a first section and a second section. The polishing article includes a projection extending inwardly into the aperture. The polishing article includes a lower portion on a side of the first surface farther from the polishing surface. A window has a first portion positioned in the first section of the aperture and a second portion extending into the second section of the aperture. The window has a second surface substantially parallel to the polishing surface. A first adhesive adheres the first surface of the projection to the second surface of the window to secure the window to the projection and a second adhesive of different material composition than the first adhesive. The second adhesive is positioned laterally between the second portion of the window and the lower portion of the polishing article.

Classes IPC  ?

  • B24B 37/20 - Tampons de rodage pour travailler les surfaces planes
  • B24B 37/22 - Tampons de rodage pour travailler les surfaces planes caractérisés par une structure multicouche
  • B24B 49/12 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs optiques

70.

REACTOR FOR COATING PARTICLES IN STATIONARY CHAMBER WITH ROTATING PADDLES AND GAS INJECTION

      
Numéro d'application 18314707
Statut En instance
Date de dépôt 2023-05-09
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Frankel, Jonathan
  • Neikirk, Colin C.
  • Narwankar, Pravin K.
  • Truong, Quoc
  • Desai, Govindraj
  • Krishnasamy, Sekar
  • Swaminathan, Shrikant

Abrégé

A reactor for coating particles includes a stationary vacuum chamber that has a lower portion that forms a half-cylinder and an upper portion and that holds a bed of particles to be coated, a vacuum port in the upper portion of the chamber, a paddle assembly, and a gas injection assembly that includes a vaporizer to convert a first liquid to a first reactant or precursor gas, a manifold to receive the first reactant or precursor gas from the vaporizer, and a plurality of channels leading from the manifold to a plurality of apertures located in the lower portion of the chamber.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • B01J 19/00 - Procédés chimiques, physiques ou physico-chimiques en général; Appareils appropriés
  • B01J 19/18 - Réacteurs fixes avec éléments internes mobiles
  • B01F 27/051 - Agitateurs caractérisés par leurs éléments, leurs matériaux ou leurs propriétés mécaniques
  • B01F 27/70 - Mélangeurs à agitateurs tournant dans des récipients fixes; Pétrins avec des agitateurs tournant autour d'un axe horizontal ou incliné avec des palettes, des lames ou des bras
  • B01F 27/07 - Agitateurs caractérisés par leur montage sur l’arbre
  • B01F 27/112 - Agitateurs caractérisés par la configuration des agitateurs avec des bras, des pales ou des lames
  • B01F 27/072 - Agitateurs caractérisés par leur montage sur l’arbre caractérisés par la disposition des agitateurs par rapport à l'axe de rotation

71.

PAD CONDITIONER CLEANING SYSTEM

      
Numéro d'application 18316190
Statut En instance
Date de dépôt 2023-05-11
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Gadgil, Shantanu Rajiv
  • Patankar, Sumit Subhash
  • Davis, Nathan Arron
  • Coughlin, Michael J.
  • D`ambra, Allen L.

Abrégé

A method of cleaning a conditioner head includes bringing two clamps of a cleaning tool inward toward a disk-shaped pad conditioner head to press a sponge against an outer surface of the disk-shaped pad conditioner head, and creating relative motion between the cleaning tool and the pad conditioner head to wipe the sponge against the pad conditioner head.

Classes IPC  ?

  • B24B 53/017 - Dispositifs ou moyens pour dresser, nettoyer ou remettre en état les outils de rodage

72.

PRINTING A CHEMICAL MECHANICAL POLISHING PAD

      
Numéro d'application 18316216
Statut En instance
Date de dépôt 2023-05-11
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Bajaj, Rajeev
  • Chin, Barry Lee
  • Lee, Terrance Y.

Abrégé

A method of fabricating a polishing layer of a polishing pad includes successively depositing a plurality of layers with a 3D printer, each layer of the plurality of polishing layers deposited by ejecting a pad material precursor from a nozzle and solidifying the pad material precursor to form a solidified pad material.

Classes IPC  ?

  • B24B 37/26 - Tampons de rodage pour travailler les surfaces planes caractérisés par la forme ou le profil de la surface du tampon de rodage, p.ex. rainurée
  • B33Y 80/00 - Produits obtenus par fabrication additive
  • B24D 18/00 - Fabrication d'outils pour meuler, p.ex. roues, non prévue ailleurs
  • B29C 64/393 - Acquisition ou traitement de données pour la fabrication additive pour la commande ou la régulation de procédés de fabrication additive
  • B33Y 10/00 - Procédés de fabrication additive
  • B29C 35/08 - Chauffage ou durcissement, p.ex. réticulation ou vulcanisation utilisant l'énergie ondulatoire ou un rayonnement corpusculaire
  • B29C 64/112 - Procédés de fabrication additive n’utilisant que des matériaux liquides ou visqueux, p.ex. dépôt d’un cordon continu de matériau visqueux utilisant des gouttelettes individuelles, p.ex. de buses de jet
  • B33Y 30/00 - Appareils pour la fabrication additive; Leurs parties constitutives ou accessoires à cet effet
  • B33Y 50/02 - Acquisition ou traitement de données pour la fabrication additive pour la commande ou la régulation de procédés de fabrication additive
  • B29C 64/209 - Têtes; Buses

73.

APPARATUS, SYSTEM, AND METHOD FOR NON-CONTACT TEMPERATURE MONITORING OF SUBSTRATE SUPPORTS

      
Numéro d'application 18317347
Statut En instance
Date de dépôt 2023-05-15
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Prasad, Bhaskar
  • Savandaiah, Kirankumar Neelasandra
  • Brezoczky, Thomas
  • Yedla, Srinivasa Rao

Abrégé

Embodiments of the present disclosure relate to apparatus, systems and methods for substrate processing. A detachable substrate support is disposed within a processing volume of a processing chamber and the substrate support includes a substrate interfacing surface and a back surface. The pedestal hub has a supporting surface removably coupled to the substrate support. A hub volume of the pedestal hub includes temperature measuring assembly disposed therein positioned to receive electromagnetic energy emitted from the back surface of the substrate support. The temperature measuring assembly measures an intensity of the electromagnetic energy entering the assembly and generates intensity signals. An apparent temperature of the substrate is determined based on the intensity signals.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

74.

COMPREHENSIVE ANALYSIS MODULE FOR DETERMINING PROCESSING EQUIPMENT PERFORMANCE

      
Numéro d'application 18175538
Statut En instance
Date de dépôt 2023-02-28
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Cantwell, Dermot Patrick
  • Han, Hui-Ling
  • Oh, Moon Kyu
  • Li, Weili

Abrégé

A method includes receiving, by a processing device, first data indicative of a processing recipe. The method further includes receiving second data. The second data includes operational data associated with the processing recipe. The method further includes receiving third data. The third data includes historical data associated with the processing recipe. The method further includes performing analysis indicative of performance of a processing chamber based on the first, second, and third data. The method further includes causing performance of a corrective action in view of the analysis.

Classes IPC  ?

75.

LASER ABLATION SYSTEM FOR PACKAGE FABRICATION

      
Numéro d'application 18195234
Statut En instance
Date de dépôt 2023-05-09
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Leschkies, Kurtis
  • Franklin, Jeffrey L.
  • Lei, Wei-Sheng
  • Verhaverbeke, Steven
  • Delmas, Jean
  • Chen, Han-Wen
  • Park, Giback

Abrégé

The present disclosure relates to systems and methods for fabricating semiconductor packages, and more particularly, for forming features in semiconductor packages by laser ablation. In one embodiment, the laser systems and methods described herein can be utilized to pattern a substrate to be utilized as a package frame for a semiconductor package having one or more interconnections formed therethrough and/or one or more semiconductor dies disposed therein. The laser systems described herein can produce tunable laser beams for forming features in a substrate or other package structure. Specifically, frequency, pulse width, pulse shape, and pulse energy of laser beams are tunable based on desired sizes of patterned features and on the material in which the patterned features are formed. The adjustability of the laser beams enables rapid and accurate formation of features in semiconductor substrates and packages with controlled depth and topography.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • B23K 26/0622 - Mise en forme du faisceau laser, p.ex. à l’aide de masques ou de foyers multiples par commande directe du faisceau laser par impulsions de mise en forme
  • B23K 26/382 - Enlèvement de matière par perçage ou découpage par perçage

76.

SHOWERHEAD WITH EMBEDDED NUT

      
Numéro d'application 18196803
Statut En instance
Date de dépôt 2023-05-12
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Doering, Kenneth Brian

Abrégé

A showerhead with an embedded nut is disclosed. The showerhead comprises an embedded nut within a cavity. The nut may be engaged by a bolt through an opening in the cavity to support the showerhead. The apparatus allows for the support of the showerhead without the potential for metal contamination.

Classes IPC  ?

  • B05B 15/62 - Aménagements pour le maintien des appareils de pulvérisation, p.ex. ventouses pour accrochage
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • B05B 1/18 - Pommes d'arrosoir; Pommes de douche

77.

OLED PANEL WITH INORGANIC PIXEL ENCAPSULATING BARRIER

      
Numéro d'application 18314915
Statut En instance
Date de dépôt 2023-05-10
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Choung, Ji-Young
  • Haas, Dieter
  • Lin, Yu-Hsin
  • Lee, Jungmin
  • Yoo, Seong Ho
  • Kim, Si Kyoung

Abrégé

Embodiments described herein relate to sub-pixel circuits and methods of forming sub-pixel circuits that may be utilized in a display such as an organic light-emitting diode (OLED) display. The device includes a plurality of sub-pixels, each sub-pixel of the plurality of sub-pixels defined by adjacent pixel-defining layer (PDL) structures with inorganic overhang structures disposed on the PDL structures, each sub-pixel having an anode, organic light-emitting diode (OLED) material disposed on the anode, and a cathode disposed on the OLED material. The device is made by a process including the steps of: depositing the OLED material and the cathode by evaporation deposition, and depositing an encapsulation layer disposed over the cathode.

Classes IPC  ?

  • H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
  • H10K 50/84 - Passivation; Conteneurs; Encapsulations
  • H10K 50/844 - Encapsulations
  • H10K 71/00 - Fabrication ou traitement spécialement adaptés aux dispositifs organiques couverts par la présente sous-classe

78.

PHOTORESIST LOADING SOLUTIONS FOR FLAT OPTICS FABRICATION

      
Numéro d'application 18314953
Statut En instance
Date de dépôt 2023-05-10
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Doshay, Sage Toko Garrett
  • Meyer Timmerman Thijssen, Rutger
  • Godet, Ludovic
  • Chen, Chien-An
  • Shah, Pinkesh Rohit

Abrégé

Embodiments of the present disclosure relate to methods for fabricating optical devices. One embodiment of the method includes disposing a structure material layer on a surface of a substrate and disposing a patterned photoresist over the structure material layer. The patterned photoresist has at least one device portion and at least one auxiliary portion. Each device portion and each auxiliary portion exposes unmasked portions of the structure material layer. The unmasked portions of structure material layer corresponding to each device portion and each auxiliary portion are etched. The etching the unmasked portions forms at least one optical device having device structures corresponding to the unmasked portions of at least one device portion and at least one auxiliary region having auxiliary structures corresponding to the unmasked portions of at least one auxiliary portion.

Classes IPC  ?

79.

POLISHING SYSTEM WITH CAPACITIVE SHEAR SENSOR

      
Numéro d'application 18315467
Statut En instance
Date de dépôt 2023-05-10
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Wiswell, Nicholas A.
  • Chou, Chih Chung
  • Benvegnu, Dominic J.

Abrégé

A polishing pad includes a sensor assembly surrounded by a lower portion of the polishing pad, and an upper portion including a pad portion disposed on the assembly and at least a portion of a polishing layer disposed on the lower portion. The sensor assembly includes a lower body having a first pair of electrodes formed thereon, a polymer body having a second pair of electrodes formed thereon and aligned with the first pair of electrodes, and a pair of gaps between the first pair of electrodes and the second pair of electrodes.

Classes IPC  ?

  • B24B 49/12 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs optiques
  • B24B 37/04 - Machines ou dispositifs de rodage; Accessoires conçus pour travailler les surfaces planes
  • B24B 37/013 - Dispositifs ou moyens pour détecter la fin de l'opération de rodage

80.

APPARATUS AND METHOD FOR CONTROLLING EDGE RING VARIATION

      
Numéro d'application 18317776
Statut En instance
Date de dépôt 2023-05-15
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Ghantasala, Sathyendra
  • Dorf, Leonid
  • Kamenetskiy, Evgeny
  • Muraoka, Peter
  • Koosau, Denis Martin
  • Dhindsa, Rajinder
  • Schmid, Andreas

Abrégé

Disclosed herein is a method and apparatus for controlling surface characteristics by measuring capacitance of a process kit ring. The method includes interfacing a ring with a jig assembly for measuring capacitance in at least a first location of the ring. The ring has that includes a top surface, a bottom surface, and an inner surface opposite an outer surface. At least the bottom surface has an external coating placed thereon. The method further includes contacting a measuring device to the first location on the outer surface proximate the bottom surface. The measuring device contacts an opening in the external coating to the body. The measuring device contacts a first conductive member that is electrically coupled to the ring. A capacitance is measured on the measuring device. The capacitance across the top surface is measured.

Classes IPC  ?

  • G01B 7/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques électriques ou magnétiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

81.

METHOD OF FORMING A DIAMOND FILM

      
Numéro d'application 17633010
Statut En instance
Date de dépôt 2021-12-15
Date de la première publication 2023-09-07
Propriétaire
  • Applied Materials, Inc. (USA)
  • National University of Singapore (Singapour)
Inventeur(s)
  • Sahmuganathan, Vicknesh
  • Gu, Jiteng
  • Chen, Zhongxin
  • Loh, Kian Ping
  • Sudijono, John
  • Xu, Haisen
  • Tan, Sze Chieh
  • Han, Yuanxing
  • Tang, Jiecong
  • Venkatasubramanian, Eswaranand
  • Mallick, Abhijit Basu

Abrégé

Apparatuses and methods for forming a film on a substrate are described. The film is formed on the substrate by depositing an adamantane monomer and an initiator on the substrate to form a polymerizable seed layer and curing the polymerizable seed layer to form a polyadamantane layer.

Classes IPC  ?

  • C23C 16/27 - Le diamant uniquement
  • C01B 32/26 - Préparation
  • C08F 2/48 - Polymérisation amorcée par énergie ondulatoire ou par rayonnement corpusculaire par la lumière ultraviolette ou visible
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/511 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à micro-ondes
  • C23C 16/02 - Pré-traitement du matériau à revêtir

82.

THERMAL SHIELD FOR PROCESSING CHAMBER

      
Numéro d'application 17685046
Statut En instance
Date de dépôt 2022-03-02
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Chang, Youngki
  • Mustafa, Muhannad
  • Shah, Kartik
  • Kashyap, Dhritiman Subha
  • Subramanian, Dhivanraj

Abrégé

Processing chambers, substrate supports and thermal shields are described. A thermal shield comprises a disc-shaped body having a thickness, an outer diameter with a first edge and a second edge at opposite ends of a diameter of the disc-shaped body, a front surface and a back surface defining the thickness. The front surface has a first longitudinal region comprising the first edge and a second longitudinal region comprising the second edge. Coating one or more of the first longitudinal region or the second longitudinal region with an emissivity material (i.e., emissivity) reduces side to side temperature variation. In some embodiments, processing chambers having the thermal shield described herein consume less power than comparative processing chambers that do not include a thermal shield.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

83.

COVER RING TO MITIGATE CARBON CONTAMINATION IN PLASMA DOPING CHAMBER

      
Numéro d'application 17687620
Statut En instance
Date de dépôt 2022-03-05
Date de la première publication 2023-09-07
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Bhosle, Vikram M.
  • Miller, Timothy J.
  • Hermanson, Eric D.
  • Leavitt, Christopher J.
  • Tye, Jordan B.

Abrégé

A plasma doping system including a plasma doping chamber, a platen mounted in the plasma doping chamber for supporting a workpiece, a source of ionizable gas coupled to the chamber, the ionizable gas containing a desired dopant for implantation into the workpiece, a plasma source for producing a plasma having a plasma sheath in a vicinity of the workpiece, the plasma containing positive ions of the ionizable gas, and accelerating said positive ions across the plasma sheath toward the platen for implantation into the workpiece, a shield ring surrounding the platen and adapted to extend the plasma sheath beyond an edge of the workpiece, and a cover ring disposed on top of the shield ring and adapted to mitigate sputtering of the shield ring, wherein the cover ring comprises a crystalline base layer and a non-crystalline top layer.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

84.

Shadow ring lift plate

      
Numéro d'application 29809529
Numéro de brevet D0997893
Statut Délivré - en vigueur
Date de dépôt 2021-09-28
Date de la première publication 2023-09-05
Date d'octroi 2023-09-05
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Huang, Zubin
  • Tokur Mohana, Srinivas
  • Patil Shanthaveeraswamy, Shreyas
  • Yadamane, Sandesh
  • Ravi, Jallepally
  • Singh, Harpreet
  • Koppa, Manjunatha

85.

Shadow ring lift assembly

      
Numéro d'application 29809534
Numéro de brevet D0997894
Statut Délivré - en vigueur
Date de dépôt 2021-09-28
Date de la première publication 2023-09-05
Date d'octroi 2023-09-05
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Huang, Zubin
  • Tokur Mohana, Srinivas
  • Patil Shanthaveeraswamy, Shreyas
  • Yadamane, Sandesh
  • Ravi, Jallepally
  • Singh, Harpreet
  • Koppa, Manjunatha

86.

COPPER, INDIUM, GALLIUM, SELENIUM (CIGS) FILMS WITH IMPROVED QUANTUM EFFICIENCY

      
Numéro d'application 18133602
Statut En instance
Date de dépôt 2023-04-12
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Li, Philip Hsin-Hua
  • Ramaswami, Seshadri

Abrégé

A method includes forming, on a substrate by performing physical vapor deposition in vacuum, an absorber layer including copper (Cu), indium (In), gallium (Ga) and selenium (Se), forming a stack including the substrate and an oxygen-annealed absorber layer by performing in-situ oxygen annealing of the absorber layer to improve quantum efficiency of the image sensor by passivating selenium vacancies due to dangling bonds, and forming a cap layer over the oxygen-annealed absorber layer by performing physical vapor deposition in vacuum. The cap layer includes at least one of: Ga2O3·Sn, ZnS, CdS, CdSe, ZnO, ZnSe, ZnIn2Se4, CuGaS2, In2S3, MgO, or Zn0.8Mg0.2O.

Classes IPC  ?

  • H01L 31/0392 - Dispositifs à semi-conducteurs sensibles aux rayons infrarouges, à la lumière, au rayonnement électromagnétique d'ondes plus courtes, ou au rayonnement corpusculaire, et spécialement adaptés, soit comme convertisseurs de l'énergie dudit rayonnement e; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives; Leurs détails caractérisés par leurs corps semi-conducteurs caractérisés par leur structure cristalline ou par l'orientation particulière des plans cristallins comprenant des films minces déposés sur des substrats métalliques ou isolants
  • H01L 31/0749 - Dispositifs à semi-conducteurs sensibles aux rayons infrarouges, à la lumière, au rayonnement électromagnétique d'ondes plus courtes, ou au rayonnement corpusculaire, et spécialement adaptés, soit comme convertisseurs de l'énergie dudit rayonnement e; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives; Leurs détails adaptés comme dispositifs de conversion photovoltaïque [PV] caractérisés par au moins une barrière de potentiel ou une barrière de surface les barrières de potentiel étant uniquement du type PN à hétérojonction incluant un composé AIBIIICVI, p.ex. cellules solaires à hétérojonctions CdS/CuInSe2 [CIS]
  • H01L 31/18 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives

87.

LARGE-AREA HIGH-DENSITY PLASMA PROCESSING CHAMBER FOR FLAT PANEL DISPLAYS

      
Numéro d'application 18142654
Statut En instance
Date de dépôt 2023-05-03
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Anwar, Suhail
  • Wu, Yui Lun
  • Kudela, Jozef
  • Sorensen, Carl A.
  • Sequeira, Jeevan Prakash

Abrégé

Embodiments described herein provide a lid assembly of a chamber for independent control of plasma density and gas distribution within the interior volume of the chamber. The lid assembly includes a plasma generation system and a gas distribution assembly. The plasma generation system includes a plurality of dielectric plates having a bottom surface oriented with respect to vacuum pressure and a top surface operable to be oriented with respect to atmospheric pressure. One or more coils are positioned on or over the plurality of dielectric plates. The gas distribution assembly includes a first diffuser and a second diffuser. The first diffuser includes a plurality of first channels intersecting a plurality of second channels of the second diffuser.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

88.

METHOD OF IN SITU CERAMIC COATING DEPOSITION

      
Numéro d'application 18143648
Statut En instance
Date de dépôt 2023-05-05
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Bobek, Sarah Michelle
  • Khaja, Abdul Aziz
  • Limdulpaiboon, Ratsamee
  • Lee, Kwangduk Douglas

Abrégé

The present disclosure relates to a method for in situ seasoning of process chamber components, such as electrodes. The method includes depositing a silicon oxide film over the process chamber component and converting the silicon oxide film to a silicon-carbon-containing film. The silicon-carbon-containing film forms a protective film over the process chamber components and is resistant to plasma processing and/or dry etch cleaning. The coatings has high density, good emissivity control, and reduces risk of device property drift.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/32 - Carbures

89.

METHOD OF FORMING AN ANODE STRUCTURE WITH DIELECTRIC COATING

      
Numéro d'application 18315240
Statut En instance
Date de dépôt 2023-05-10
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s) Herle, Subramanya P.

Abrégé

The present disclosure generally relate to separators, high performance electrochemical devices, such as, batteries and capacitors, including the aforementioned separators, and methods for fabricating the same. In one implementation, a separator for a battery is provided. The separator comprises a substrate capable of conducting ions and at least one dielectric layer capable of conducting ions. The at least one dielectric layer at least partially covers the substrate and has a thickness of 1 nanometer to 2,000 nanometers.

Classes IPC  ?

  • H01M 4/38 - Emploi de substances spécifiées comme matériaux actifs, masses actives, liquides actifs d'éléments simples ou d'alliages
  • H01M 4/66 - Emploi de matériaux spécifiés
  • H01M 10/0525 - Batteries du type "rocking chair" ou "fauteuil à bascule", p.ex. batteries à insertion ou intercalation de lithium dans les deux électrodes; Batteries à l'ion lithium
  • H01M 10/052 - Accumulateurs au lithium
  • H01M 50/42 - Résines acryliques
  • H01M 50/417 - Polyoléfines
  • H01M 50/426 - Polymères fluorocarbonés
  • H01M 50/497 - Conductivité ionique
  • H01M 50/491 - Porosité
  • H01M 50/437 - Verre
  • H01M 50/434 - Céramiques
  • H01M 50/451 - Séparateurs, membranes ou diaphragmes caractérisés par le matériau ayant une structure en couches comprenant des couches de matériau organique uniquement et des couches comprenant un matériau inorganique
  • H01M 50/489 - Séparateurs, membranes, diaphragmes ou éléments d’espacement dans les cellules caractérisés par leurs propriétés physiques, p.ex. degré de gonflement, hydrophilicité ou propriétés pour court-circuiter
  • H01M 4/40 - Alliages à base de métaux alcalins

90.

SYSTEMS AND METHODS OF SEASONING ELECTROSTATIC CHUCKS WITH DIELECTRIC SEASONING FILMS

      
Numéro d'application 18143895
Statut En instance
Date de dépôt 2023-05-05
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Singhal, Akhil
  • Yau, Allison
  • Zhao, Zeqiong
  • Kim, Sang-Jin
  • Jiang, Zhijun
  • Padhi, Deenesh
  • Balasubramanian, Ganesh

Abrégé

Semiconductor processing systems and method are described that may include flowing deposition precursors into a substrate processing region of a semiconductor processing chamber, where the substrate processing region includes an electrostatic chuck. The methods may further include depositing a seasoning layer on the electrostatic chuck from the deposition precursors to form a seasoned electrostatic chuck. The seasoning layer may be characterized by a dielectric constant greater than or about 3.5. The methods may still further include applying a voltage to the seasoned electrostatic chuck of greater than or about 500 V. The seasoned electrostatic chuck may be characterized by a leakage current of less than or about 25 mA when the voltage is applied.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H02N 13/00 - Embrayages ou dispositifs de maintien utilisant l'attraction électrostatique, p.ex. utilisant l'effet Johnson-Rahbek
  • C25D 7/00 - Dépôt électrochimique caractérisé par l'objet à revêtir

91.

INKJET INKS FOR DEPOSITION AND REMOVAL IN A LASER DICING PROCESS

      
Numéro d'application 18173365
Statut En instance
Date de dépôt 2023-02-23
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Luo, Yingdong
  • Wang, Kangkang
  • Lei, Wei-Sheng
  • Deng, Xiaopei
  • Chen, Erica
  • Luo, Kang
  • Zhang, Daihua
  • Hourani, Rami
  • Godet, Ludovic

Abrégé

Methods of dicing optical devices from an optical device substrate are disclosed. The methods include disposing a protective coating only over the optical devices. The optical device substrate includes the optical devices disposed on the surface of the optical device substrate with areas therebetween. The areas of the optical device substrate are exposed by the protective coating. The protective coating includes a polymer, a solvent, and an additive. The methods further include curing the protective coating via a cure process so that the protective coating is water-soluble after the solvent is removed by the cure process, dicing the optical devices from the optical device substrate by projecting a laser beam to the areas between the optical devices, and exposing the protective coating to water to remove the protective coating from the optical devices that are diced.

Classes IPC  ?

  • G02B 5/18 - Grilles de diffraction
  • G02B 1/14 - Revêtements protecteurs, p.ex. revêtements durs
  • B23K 26/402 - Enlèvement de matière en tenant compte des propriétés du matériau à enlever en faisant intervenir des matériaux non métalliques, p.ex. des isolants
  • B23K 26/60 - Traitement préliminaire

92.

ELECTROPLATING SYSTEMS AND METHODS WITH INCREASED METAL ION CONCENTRATIONS

      
Numéro d'application 18195021
Statut En instance
Date de dépôt 2023-05-09
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Roh, Kwan Wook
  • Sharbono, Charles
  • Hanson, Kyle M.

Abrégé

Electroplating methods and systems are described that include adding a metal-ion-containing starting solution to a catholyte to increase a metal ion concentration in the catholyte to a first metal ion concentration. The methods and systems further include measuring the metal ion concentration in the catholyte while the metal ions electroplate onto a substrate and the catholyte reaches a second metal ion concentration that is less than the first metal ion concentration. The methods and systems additionally include adding a portion of an anolyte directly to the catholyte when the catholyte reaches the second metal ion concentration. The addition of the portion of the anolyte increases the metal ion concentration in the catholyte to a third metal ion concentration that is greater than or about the first metal ion concentration.

Classes IPC  ?

  • C25D 3/38 - Dépôt électrochimique; Bains utilisés à partir de solutions de cuivre
  • C25D 7/12 - Semi-conducteurs
  • C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
  • C25D 21/14 - Addition commandée des composants de l'électrolyte

93.

NITROGEN-RICH SILICON NITRIDE FILMS FOR THIN FILM TRANSISTORS

      
Numéro d'application 18195196
Statut En instance
Date de dépôt 2023-05-09
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lim, Rodney S.
  • Kim, Jung Bae
  • Wang, Jiarui
  • Cui, Yi
  • Yim, Dong Kil
  • Choi, Soo Young

Abrégé

Embodiments of the present disclosure generally relate to nitrogen-rich silicon nitride and methods for depositing the same, and transistors and other devices containing the same. In one or more embodiments, a passivation film stack is provided and includes a silicon oxide layer disposed on a workpiece, a nitrogen-rich silicon nitride layer disposed on the silicon oxide layer, and a hydrogen-rich silicon nitride layer disposed on the nitrogen-rich silicon nitride layer. The hydrogen-rich silicon nitride layer has a greater hydrogen concentration than the nitrogen-rich silicon nitride layer.

Classes IPC  ?

  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/786 - Transistors à couche mince

94.

ELECTROCHEMICAL DEPOSITIONS OF NANOTWIN COPPER MATERIALS

      
Numéro d'application 18304200
Statut En instance
Date de dépôt 2023-04-20
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Xu, Jing
  • Klocke, John L.
  • Bernt, Marvin L.
  • Bergman, Eric J.
  • Roh, Kwan Wook

Abrégé

Exemplary methods of electroplating include contacting a patterned substrate with a plating bath in an electroplating chamber, where the pattern substrate includes at least one opening having a bottom surface and one or more sidewall surfaces. The methods may further include forming a nanotwin-containing metal material in the at least one opening. The metal material may be formed by two or more cycles that include delivering a forward current from a power supply through the plating bath of the electroplating chamber for a first period of time, plating a first amount of the metal on the bottom surface of the opening on the patterned substrate and a second amount of the metal on the sidewall surfaces of the opening, and delivering a reverse current from the power supply through the plating bath of the electroplating chamber to remove some of the metal plated in the opening on the patterned substrate.

Classes IPC  ?

  • C25D 3/38 - Dépôt électrochimique; Bains utilisés à partir de solutions de cuivre
  • C25D 5/54 - Dépôt électrochimique sur des surfaces non métalliques
  • C25D 5/02 - Dépôt sur des surfaces déterminées
  • H01L 21/288 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un liquide, p.ex. dépôt électrolytique
  • C23C 14/18 - Matériau métallique, bore ou silicium sur d'autres substrats inorganiques
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

95.

MACHINE LEARNING & INTEGRATED METROLOGY FOR RUN-TO-RUN OPTIMIZATION OF CHIP-TO-WAFER ALIGNMENT ACCURACY

      
Numéro d'application 17680554
Statut En instance
Date de dépôt 2022-02-25
Date de la première publication 2023-08-31
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Wang, Ruiping
  • Wang, Shijing
  • Nahas, Selim
  • Wang, Ying
  • See, Guan Huei

Abrégé

Methods, apparatuses and systems in an integrated bonding system for optimizing bonding alignment between dies and a substrates include bonding, using a bonder of the integrated bonding system, a first die to a first substrate using preset alignment settings, transferring, using a transfer arm/robot of the integrated bonding system, the bonded die-substrate combination to an on-board inspection tool of the integrated bonding system, inspecting, at the on-board inspection tool, an alignment of the bond between the die and the substrate of the bonded die-substrate combination to determine a misalignment measure representing a misalignment of the bond between the die and the substrate of the bonded die-substrate combination, determining from the misalignment measurement, using a machine learning process, a correction measurement to be communicated to the bonder, and bonding, in the bonder, a different die to a different substrate using the determined machine-learning based correction measurement.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • G05B 19/4155 - Commande numérique (CN), c.à d. machines fonctionnant automatiquement, en particulier machines-outils, p.ex. dans un milieu de fabrication industriel, afin d'effectuer un positionnement, un mouvement ou des actions coordonnées au moyen de données d'u caractérisée par le déroulement du programme, c.à d. le déroulement d'un programme de pièce ou le déroulement d'une fonction machine, p.ex. choix d'un programme

96.

GRIP-BASED TRANSPORT SPEEDS FOR TRANSPORTING OBJECTS AT A MANUFACTURING SYSTEM

      
Numéro d'application 17683137
Statut En instance
Date de dépôt 2022-02-28
Date de la première publication 2023-08-31
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ngo, Khai T.
  • Wong, Michelle A.
  • Lee, Helder

Abrégé

Systems and methods for grip-based transport speeds for objects transported at a manufacturing system is provided. A controller can detect an object placed on an end effector of a robot arm. The controller can apply vacuum pressure to secure the object to the end effector via vacuum grip pads. The controller can obtain a vacuum pressure measurement indicating the amount of vacuum pressure between the object and the end effector and determine whether the obtained vacuum pressure measurement satisfies a vacuum pressure criterion. The controller can determine a transport speed setting for transporting the object using the robot arm based on whether the obtained vacuum pressure measurement satisfies the vacuum pressure criterion. The controller can cause the robot arm to move the object according to the transport speed setting.

Classes IPC  ?

  • B25J 9/16 - Commandes à programme
  • B25J 15/06 - Têtes de préhension avec moyens de retenue magnétiques ou fonctionnant par succion
  • B25J 13/08 - Commandes pour manipulateurs au moyens de dispositifs sensibles, p.ex. à la vue ou au toucher
  • B25J 11/00 - Manipulateurs non prévus ailleurs
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

97.

O-RING MOUNTING TEMPLATE AND METHOD

      
Numéro d'application 17851150
Statut En instance
Date de dépôt 2022-06-28
Date de la première publication 2023-08-31
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Yang, Yao-Hung
  • Ruhland, Fred Eric
  • Chang, Chih-Yang
  • Lin, Chiache
  • Chaudhari, Saurabh Murlidhar
  • Kenchanapura Nagaraju, Sridhar
  • Rao, Kishan

Abrégé

An apparatus for inserting a seal member into a seal groove includes a tray. The tray includes a holding groove formed in a front surface for containing the seal member. The holding groove is sized and shaped to correspond with the seal groove. A method of installing a seal member into a seal groove includes aligning the holding groove with the seal groove, and applying a pressure to a back surface of the tray, thereby deforming the tray and inserting the seal member into the seal groove.

Classes IPC  ?

  • F16J 15/02 - Joints d'étanchéité entre surfaces immobiles entre elles

98.

Collimator for use in a physical vapor deposition (PVD) chamber

      
Numéro d'application 29833398
Numéro de brevet D0997111
Statut Délivré - en vigueur
Date de dépôt 2022-04-04
Date de la première publication 2023-08-29
Date d'octroi 2023-08-29
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Riker, Martin Lee
  • Zhang, Fuhong
  • Zhong, Lanlan
  • Kalathiparambil, Kishor Kumar

99.

ENDPOINT DETECTION IN LOW OPEN AREA AND/OR HIGH ASPECT RATIO ETCH APPLICATIONS

      
Numéro d'application 17675900
Statut En instance
Date de dépôt 2022-02-18
Date de la première publication 2023-08-24
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Lian, Lei
  • Walker, Quentin
  • Wang, Zefang
  • Koseki, Shinichi

Abrégé

Disclosed herein is a method for determining the endpoint of an etch operation used for forming high aspect ratio features and/or over low open area (<1%) on a substrate in a processing chamber. The method begins by obtaining a reference emission curve. An etch operation is performed on a patterned substrate. A plasma optical emission intensity is measured for each of the etch cycles. A differential curve between the reference emission and the plasma optical emissions is calculated. And endpoint is determined for the etch operation on the first substrate based on an inflection point detection or other unique features through pattern recognition in the differential curve for stopping the etch of the first substrate.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • G01J 1/18 - Photométrie, p.ex. posemètres photographiques par comparaison avec une lumière de référence ou avec une valeur électrique de référence en utilisant des détecteurs électriques de radiations en utilisant une comparaison avec une valeur électrique de référence

100.

CONDUCTIVE OXIDE SILICIDES FOR RELIABLE LOW CONTACT RESISTANCE

      
Numéro d'application 17863656
Statut En instance
Date de dépôt 2022-07-13
Date de la première publication 2023-08-24
Propriétaire Applied Materials, Inc. (USA)
Inventeur(s)
  • Haverty, Michael
  • Gelatos, Avgerinos V.
  • Thareja, Gaurav

Abrégé

Embodiments of the disclosure provide methods and electronic devices comprising a work function layer comprising a material that forms a conductive oxide with or without titanium. The electronic devices comprise a silicon layer with the work function layer thereon and a metal contact on the work function layer.

Classes IPC  ?

  • H01L 29/45 - Electrodes à contact ohmique
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/40 - Electrodes
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  1     2     3     ...     82        Prochaine page