Methods and apparatus for single side filling of through-vias in a substrate are provided herein. In some embodiments, a method of filling through-vias in a substrate includes: coupling a first side of the substrate having through-vias to a carrier plate with an adhesive layer; exposing the through-vias to a conductive layer disposed between the carrier plate and the first side of the substrate; and plating the substrate using the conductive layer as a conductive seed layer to fill the through- vias with a conductive material.
H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
H01L 23/498 - Connexions électriques sur des substrats isolants
Provided is a DRAM device having a support layer is to hold the bWL features before being filled with the electrode metal. The support layer keeps the structure supported from the top surface but does not prevent the gap fill. A temporary gap-fill material is first deposited in the bWL gaps and then recessed to expose the top edges. A support layer material is then deposited on the structure by plasma enhanced chemical vapor deposition (PECVD). The device is then patterned orthogonal and with pitch greater than the bWL pitch. The temporary gap-fill material is then removed, forming support beams comprising the support material. A metal can then be deposited to fill the bWL gaps under the support beams.
Exemplary semiconductor processing methods may include providing a silicon-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region of the semiconductor processing chamber. Alternating layers of material may be formed on the substrate. One or more recesses may be formed in the alternating layers of material. The methods may include forming a first silicon-containing material. The first silicon-containing material may extend into the one or more recesses formed in the alternating layers of material. The methods may include providing a halogen-containing precursor to the processing region of the semiconductor processing chamber. The methods may include forming a silicon-and-halogen-containing material. The silicon-and-halogen-containing material may overly the first silicon-containing material. The methods may include forming a second silicon-containing material. The second silicon-containing material may overly the silicon-and-halogen-containing material.
H10B 41/27 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
H10B 43/27 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
Dual channel showerhead assemblies are described. In some embodiments, the dual channel showerhead assemblies, which include a showerhead upper plate and a showerhead lower plate, enable delivery of mutually incompatible precursors along separate channels that mix in the process zone above a wafer. The dual channel showerhead assemblies provide at least two separate gas paths. In some embodiments, the hole design and hole distribution are configured for minimal jetting effect and plenum volumes for fast purging. The dual channel showerhead assemblies described herein may have a reduced purge out time compared to single channel showerheads, spiral dual channel showerheads, and bonded dual channel showerheads.
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
5.
PROCESS CHAMBER AND PROCESS KITS FOR ADVANCED PACKAGING
Process kits for processing chambers and processing chambers having a lower shield and lower shield ring are described. The lower shield has a ring-shaped body with an inner wall and an outer wall, a top wall and a bottom wall with an outer ledge wall extends outwardly from a lower portion of the outer wall to an outer ledge outer wall. The lower shield ring has a ramped lower inner wall with a top face spaced a distance from the bottom face of the upper inner wall so that the distance decreases from the lower inner wall to an inside surface of the outer wall. At least one upper opening extends through the top portion of the lower shield ring and at least one opening extends through the lower portion of the lower shield ring. Upper shields configured to cooperatively interact with the lower shield and lower shield ring are also described.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
METHOD OF GENERATING A COMPUTATIONAL MODEL FOR IMPROVING PARAMETER SETTINGS OF ONE OR MORE DISPLAY MANUFACTURING TOOLS, METHOD OF SETTING PARAMETERS OF ONE OR MORE DISPLAY MANUFACTURING TOOLS, AND DISPLAY MANUFACTURING FAB EQUIPMENT
A method of generating a computational model for improving manufacturing conditions in one or more display manufacturing tools for manufacturing of display devices on a plurality of substrates in a display manufacturing fab equipment is described. The method includes determining a plurality of input values of the one or more display manufacturing tools, each input value associated with a corresponding substrate identification number of a plurality of substrate identification numbers; determining a plurality of result input values for substrates having the plurality of substrate identification numbers, the result input values providing quantitative quality values; providing a training data set of the plurality of input values and the plurality of result input values correlated by the corresponding substrate identification numbers; and producing or generating the computational model by machine learning from the training data set.
Methods for forming a semiconductor structure and semiconductor structures are described. The method comprises non-selectively depositing an amorphous silicon layer on a top surface and a sidewall surface of at least one contact trench on a substrate and a crystalline silicon layer on a bottom surface of the at least one contact trench at a temperature less than or equal to 400 °C, the bottom surface including a source/drain material. The amorphous silicon layer is selectively removed from the top surface and the sidewall surface at a temperature less than or equal to 400 °C. The method may be performed in a processing chamber without breaking vacuum.
H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
Electrostatic chucks (ESCs) for reactor or plasma processing chambers, and methods of fabricating ESCs, are described. In an example, a method of fabricating a substrate support assembly includes providing a ceramic top plate having a top surface with a processing region. A plurality of mesas is formed within the processing region and on the top surface of the ceramic plate. Laser-machining of one or more of the plurality of mesas is performed to reduce or to increase a surface roughness of the one or more of the plurality of mesas.
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
9.
ENHANCED CHAMBER CLEAN AND RECOVERY WITH DUAL FLOW PATH
Processing chambers comprising a chamber body, a remote plasma source (RPS) outside the chamber body, a first connection line between the remote plasma source and the interior volume of the chamber body through the top wall and a second connection line between the remote plasma source and the interior volume through the sidewall of the chamber body. Methods of cleaning a processing chamber comprising flowing an etchant gas through the RPS into the chamber body, followed by a flow recovery gas through the RPS into the chamber body through both the first connection line and second connection line.
Embodiments of the present disclosure relate to optical device fabrication using methods of discrete grating assembly and optical interconnection. Discrete gratings corresponding to one of an input coupling grating, an intermediate grating, or an output coupling grating of an optical device are formed on separated donor substrates. The donor substrates are diced into individual gratings and adhered to an optical device substrate. An inkjet material is disposed between the gratings to optically interconnect the portions of the optical device.
Methods and apparatus for electroplating a substrate incorporate aspects of digital lithography and feedback from electroplating processes to improve characteristics of plating material based on die patterns. In some embodiments, a method of electroplating a substrate may include receiving a die design, forming a first lithographic pattern for a first substrate based on the die design, using a digital lithography process to pattern the first substrate with the first lithographic pattern, using an electroplating process to deposit material on the first substrate, using a metrology process to determine at least one parameter of the deposited material on the first substrate, and forming a second lithographic pattern from the first lithographic pattern for a second substrate based, at least in part, on the at least one parameter received directly from the metrology process on the first substrate by the digital lithographic process for the second substrate.
Methods for adjusting a work function of a structure in a substrate leverage near surface doping. In some embodiments, a method for adjusting a work function of a structure in a substrate may include coating surfaces of the structure to form a doping layer in a non-solid phase that contains dopants on the surfaces of the structure and performing a dopant diffusion process using an oxidation process to drive the dopants through the surfaces the structure to embed the dopants in the structure to adjust the work function of the structure near the surfaces to form an abrupt junction profile and form an oxidation layer on the surfaces of the structure. The coating of the surfaces of the structure may be performed using a gas-phase or liquid-phase process.
H01L 21/225 - Diffusion des impuretés, p.ex. des matériaux de dopage, des matériaux pour électrodes, à l'intérieur ou hors du corps semi-conducteur, ou entre les régions semi-conductrices; Redistribution des impuretés, p.ex. sans introduction ou sans élimination de matériau dopant supplémentaire en utilisant la diffusion dans ou hors d'un solide, à partir d'une ou en phase solide, p.ex. une couche d'oxyde dopée
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
13.
DENSE VERTICALLY SEGMENTED SILICON COATING FOR LOW DEFECTIVITY IN HIGH-TEMPERATURE RAPID THERMAL PROCESSING
This application generally relates to a chamber component for a thermal processing chamber comprising a base component having a coating disposed thereon, the coating having a base component having a coating disposed thereon, the coating includes a surface, a thickness, and a plurality of cracks extending from the surface of the coating through at least 40 percent of the thickness of the coating.
Embodiments disclosed herein include a method of modeling a rapid thermal processing (RTF) tool. In an embodiment, the method comprises developing a lamp model of an RTF tool, wherein the lamp model comprises a plurality of lamp zones, calculating an irradiance graph for the plurality of lamp zones, multiplying irradiance values of the plurality of lamp zones in the irradiance graph by a power of an existing RTF tool at a given time during a process recipe, summing the multiplied irradiance values for the plurality of lamp zones to form an irradiation graph of the lamp model, using the irradiation graph as an input to a machine learning algorithm, and outputting the temperature across a hypothetical substrate from the machine learning algorithm.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
A system comprising a spinning disk is disclosed. The system comprises a semiconductor processing system, such as a high energy implantation system. The semiconductor processing system produces a spot ion beam, which is directed to a plurality of workpieces, which are disposed on the spinning disk. The spinning disk comprises a rotating central hub with a plurality of platens. The spinning disk rotates about a central axis. The spinning disk is also translated linearly in a directional perpendicular to the central axis. The spot ion beam strikes the spinning disk at a distance from the central axis, referred to as the radius of impact. The rotation rate and the scan velocity may both vary inversely with the radius of impact.
H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
16.
PROCESS CHARACTERIZATION AND CORRECTION USING OPTICAL WALL PROCESS SENSOR (OWPS)
A method includes receiving, by a processing device, first data from an optical sensor of a processing chamber. The method further includes processing the first data to obtain second data. The second data includes an indication of a condition of a coating on an interior surface of the processing chamber. The method further includes generating an indication of performance of a processing operation of the processing chamber in view of the second data. The method further includes causing performance of a corrective action in view of the indication of performance of the processing chamber.
G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
G01N 21/84 - Systèmes spécialement adaptés à des applications particulières
A method includes receiving, by a processing device, first sensor data indicating a state of a wall corresponding to a first processing chamber. The first sensor data includes optical spectral data. The method further includes determining, by the processing device, a first value based on the first sensor data. The first value corresponds to a first amount of a product disposed along a surface of the wall at a first time. The method further includes determining, by the processing device, a first update to a first process operation associated with the first processing chamber based on the first value. The method further includes performing, by the processing device, one or more of (i) preparing a notification indicating the first update for presentation on a graphical user interface (GUI), or (ii) causing performance of the first process operation in accordance with the first update.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
18.
RADIO FREQUENCY SOURCE FOR INDUCTIVELY COUPLED AND CAPACITIVELY COUPLED PLASMAS IN SUBSTRATE PROCESSING CHAMBERS
A radio frequency (RF) source may be used to generate a capacitively coupled plasma to perform a plasma-based process on a substrate in a plasma processing chamber. A controller may cause the RF source and a switching element to route an RF signal to electrodes in the pedestal that generate the plasma in the processing chamber as part of a recipe performed on a substrate during etch or deposition processes. Between processes, the controller may cause the same RF source to generate a second RF signal that is instead routed by the switching element to inductive coils to generate an inductively coupled plasma for a cleaning process to remove film deposits on the interior of the plasma processing chamber.
A vacuum deposition system (100, 200, 300) for coating a substrate in an essentially vertical orientation is described. The vacuum deposition system includes a vacuum transfer chamber (120), a first deposition branch (130) extending from the vacuum transfer chamber (120) and comprising a first deposition chamber (131) provided in-line with and adjacent to a second deposition chamber (132) along a first substrate transport path (P1), and a second deposition branch (140) extending from the vacuum transfer chamber (120) and comprising a third deposition chamber (141) provided in-line with and adjacent to a fourth deposition chamber (142) along a second substrate transport path. The vacuum transfer chamber (120) is configured for transferring the substrate between the first substrate transport path (P1) and the second substrate transport path (P2). Further described are methods of coating substrates in a vacuum deposition system, particularly in the vacuum deposition system described herein.
C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
1211 is a first aromatic ligand having a hapticity selected from η3, η5, or η622 is a ligand having a hapticity selected from of η3, η4, η5, η6, η7, η8, η9or η10112345622, can be independently selected from a group consisting of hexa-1,3,5-triene, 2-methylene-1,3-propanediyl, 1,2-diethenylcyclohex-1-ene, cyclooctatetraene, cyclooctatetraenide anion, styrene, o-quinodimethane, phenyl thiocyanate, phenyl isothiocyanate, (3-methylphenyl)-methylene and derivatives thereof.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
A showerhead for a processing chamber includes a faceplate with a plurality of openings. A plurality of compartments are recessed into a top surface of the faceplate. The showerhead includes a plurality of MEMS devices. Each MEMS device is disposed in a corresponding compartment of the plurality of compartments. A printed circuit board including a plurality of ports therethrough is coupled to each MEMS device. Each MEMS device is configured to regulate a gas flow into each corresponding compartment through a corresponding port of the plurality of ports in the printed circuit board.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/52 - Commande ou régulation du processus de dépôt
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
22.
PACKAGE IMAGING FOR DIE LOCATION CORRECTION IN DIGITAL LITHOGRAPHY
Actual physical locations of dies on a substrate package may be identified without using a full metrology scan of the substrate. Instead, one or more cameras may be used to efficiently locate the approximate location of any of the alignment features based on their expected positioning in the design file for the packages are substrate. The cameras may then be moved to locations where alignment features should be, and images may be captured to determine the actual location of the alignment feature. These actual locations of the alignment features may then be used to identify coordinates for the dies, as well as rotations and/or varying heights of the dies on the packages. A difference between the expected location from the design file and the actual physical location may be used to adjust instructions for the digital lithography system to compensate for the misalignment of the dies.
H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
Exemplary semiconductor structures may include a silicon-containing substrate. The structures may include a first layer of a first metal nitride overlying the silicon-containing substrate. The structures may include a second layer of a second metal nitride overlying the first layer of the first metal nitride. The structures may include a gallium nitride structure overlying the layer of the metal nitride.
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 33/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails
H01L 33/32 - Matériaux de la région électroluminescente contenant uniquement des éléments du groupe III et du groupe V de la classification périodique contenant de l'azote
24.
COMMUNICATION NODE TO INTERFACE BETWEEN EVALUATION SYSTEMS AND A MANUFACTURING SYSTEM
An electronic device manufacturing system that includes a process tool and a tool server coupled to the process tool and comprising a communication node and an evaluation system. The communication node is configured to obtain one or more attributes from an evaluation system and provide a monitoring device comprising a data collection plan that is based on the one or more attributes. The communication node is further configured to register the monitoring device with a process tool. The communication node is further configured to receive, from the process tool, data based on the data collection plan and send the received data to the evaluation system.
H04L 67/12 - Protocoles spécialement adaptés aux environnements propriétaires ou de mise en réseau pour un usage spécial, p.ex. les réseaux médicaux, les réseaux de capteurs, les réseaux dans les véhicules ou les réseaux de mesure à distance
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
Methods of forming a resist model for angled gratings on optical devices. In one example, a method includes designing a model with a model area and a verification area with initial mask patterns having a first grating pattern with a first angle and a first critical dimension and fabricating test masks with the model area having a first model angle and a first model critical dimension and the verification area having a first verification angle and a first verification critical dimension. The method also includes patterning a substrate with the test masks, measuring the first model angle, the first model critical dimension, the first verification angle and the first verification critical dimension, and fabricating a new device mask if the first verification angle is within the threshold range of the first desired angle and the first verification critical dimension is within the threshold range of the first desired critical dimension.
G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
G02B 27/00 - Systèmes ou appareils optiques non prévus dans aucun des groupes ,
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
27.
SYNTHETIC TIME SERIES DATA ASSOCIATED WITH PROCESSING EQUIPMENT
A method includes providing a random or pseudo-random input to a first trained machine learning model trained to generate synthetic sensor time series data for a processing chamber. The method further includes providing first data indicative of one or more attributes of target synthetic sensor time series data to the first trained machine learning model. The method further includes receiving an output from the first trained machine learning model. The output includes synthetic sensor time series data associated with the processing chamber. The output is generated in view of the first data indicative of the one or more attributes.
Apparatus for extending substrate queue time for hybrid bonding by preserving plasma activation. In some embodiments, the apparatus may include an environmentally controllable space with a support for holding a die or a substrate, a gas velocity accelerator that recirculates one or more gases laterally across the support, a filter, a humidifier apparatus that is fluidly connected to the environmentally controllable space, wherein the humidifier apparatus enables controllable humidity levels within the environmentally controllable space, a pressurizing apparatus fluidly connected to the humidifier apparatus on an output and fluidly connected to at least one gas supply on an input, a relative humidity (RH) sensor positioned within the environmentally controllable space, and an environment controller in communication with at least the humidifier apparatus and the RH sensor, wherein the environment controller is configured to maintain an RH level of approximately 80% to approximately 95%.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
29.
HEAT SHIELD ASSEMBLIES FOR MINIMIZING HEAT RADIATION TO PUMP OF PROCESS CHAMBER
Embodiments of heat shield assemblies for a processing chamber are provided herein. In some embodiments, a heat shield assembly for a processing chamber includes: a first shield comprising a circular plate; a second shield coupled to the first shield and in a parallel configuration with the first shield, wherein the second shield has an outer diameter greater than an outer diameter of the first shield and the second shield includes a central opening having a diameter smaller than an outer diameter of the first shield; and a third shield coupled to and in a parallel configuration with the second shield, wherein an outer diameter of the third shield is greater than the diameter of the central opening of the second shield.
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
Exemplary modular gas blocks may include a body having inlet and outlet ends. The body may define a portion of a first gas path along a length of the body and may define a second gas path along a width of the body. The first gas path may include channel segments defined within the body. The inlet end may define a gas inlet that is coupled with the first gas path. The body may define first fluid ports that are coupled with the first gas path. A fluid port of the first fluid ports may be coupled with the gas inlet. The first fluid ports may be coupled with one another via a respective channel segment. An upper surface may define a lateral fluid port that is spaced apart from a first fluid port along the width and is coupled with the first fluid port via the second gas path.
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
31.
TRANSISTOR DEVICES WITH MULTI-LAYER INTERLAYER DIELECTRIC STRUCTURES
A transistor device includes a channel region, a first source/drain region adjacent to a first end of the channel region and a second source/drain region adjacent to a second end of the channel region, a gate structure disposed on the channel region, the first source/drain region and the second source/drain region, and an interlayer dielectric (ILD) structure disposed on the gate structure. The ILD structure includes a first dielectric layer including a first set of sublayers. The first set of sublayers includes a first sublayer including a first dielectric material having a first hydrogen concentration and a second sublayer including the first dielectric material having a second hydrogen concentration lower than the first hydrogen concentration. The ILD structure further includes a second dielectric layer including a second set of sublayers. The second set of sublayers includes a third sublayer including a second dielectric material different from the first dielectric material.
Exemplary methods of coating a metal-containing component are described. The methods are developed to increase corrosion resistance and improve coating adhesion to a metal substrate. The methods include forming a bonding layer on a metal substrate, where the bonding layer includes an oxide of a metal in the metal substrate. The coating methods further include depositing a stress buffer layer on the bonding layer, where the stress buffer layer is characterized by a stress buffer layer coefficient of thermal expansion (CTE) that is less than a metal substrate CTE and a bonding layer CTE. The coating methods also include depositing an environmental barrier layer on the stress buffer layer, where a ratio of the metal substrate CTE to an environmental barrier layer CTE is greater than or about 20:1, and where the environmental barrier layer includes silicon oxide. The metal-containing components may be used in fabrication equipment for electronic devices.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
33.
MODULAR MULTI-CHAMBER PROCESSING TOOL HAVING LINK CHAMBER FOR ULTRA HIGH VACUUM PROCESSES
Embodiments of link chamber for use in multi-chamber processing tools or systems are provided herein. In some embodiments, a link chamber for use in a multi-chamber processing tool includes: a link chamber body having a plurality of facets extending between a bottom plate and a top plate, wherein at least seven of the plurality of facets have a chamber opening to form a plurality of chamber openings, wherein the plurality of chamber openings are sized to pass a substrate therethrough, and wherein each of the plurality of chamber openings are configured to be coupled to a slit valve, a load lock chamber, a cover plate, a process chamber, or a second link chamber body.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
34.
METAL DEPOSITION AND ETCH IN HIGH ASPECT-RATIO FEATURES
Exemplary methods of etching may include flowing a fluorine-containing precursor and a secondary gas into a processing region of a semiconductor processing chamber. The secondary gas may be or include oxygen or nitrogen. A flow rate ratio of the fluorine-containing precursor to the secondary gas may be greater than or about 1:1. The methods may include contacting a substrate with the fluorine-containing precursor and the secondary gas. The substrate may include an exposed metal. The substrate may define a high aspect-ratio structure. The methods may include etching the exposed metal within the high aspect-ratio structure.
H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
H10B 41/27 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
H10B 43/27 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
35.
SEMICONDUCTOR CHAMBER COMPONENTS WITH MULTI-LAYER COATING
Exemplary semiconductor processing chambers may include a chamber body. The chambers may include a showerhead. The chambers may include a substrate support. The substrate support may include a platen characterized by a first surface facing the showerhead. The substrate support may include a shaft coupled with the platen along a second surface of the platen opposite the first surface of the platen. The shaft may extend at least partially through the chamber body. A coating may extend conformally about the first surface of the platen. The coating may include a first layer of silicon proximate the first surface of the platen, and may include a second layer of material overlying the first layer of silicon.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
A structure is provided including a substrate and a tungsten-containing layer. The tungsten-containing layer includes a nucleation layer disposed on the substrate and a bulk layer is disposed over the nucleation layer. The nucleation layer includes tungsten and the bulk layer includes about 0.1% to about 20% atomic molybdenum. The tungsten-containing layer includes a film stress of about 350 MPa to about 450 MPa.
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
C23C 16/08 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir d'halogénures métalliques
C23C 16/507 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes externes, p.ex. dans des réacteurs de type tunnel
37.
EDDY CURRENT MONITORING TO DETECT VIBRATION IN POLISHING
A body is brought into contact with a polishing pad of a polishing system, a polishing liquid is supplied to the polishing pad, relative motion between the body and the polishing pad is generated while the body contacts the polishing pad, a signal from an in-situ eddy current monitoring system during the relative motion while the body contacts the polishing pad, generating, and mechanical vibrations in the polishing system are detected based on a signal from the in-situ eddy current monitoring system.
B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
B24B 57/02 - Dispositifs pour l'alimentation, l'application, le triage ou la récupération de produits de meulage, polissage ou rodage pour l'alimentation en produits de meulage, polissage ou rodage à l'état fluide, vaporisés, pulvérisés ou liquéfiés
B24B 47/12 - MACHINES, DISPOSITIFS OU PROCÉDÉS POUR MEULER OU POUR POLIR; DRESSAGE OU REMISE EN ÉTAT DES SURFACES ABRASIVES; ALIMENTATION DES MACHINES EN MATÉRIAUX DE MEULAGE, DE POLISSAGE OU DE RODAGE Équipement à cet effet pour entraîner dans leur mouvement de rotation ou de va-et-vient les arbres porte-meules ou les arbres porte-pièces par une transmission mécanique ou par l'énergie électrique
38.
COVER RING TO MITIGATE CARBON CONTAMINATION IN PLASMA DOPING CHAMBER
A plasma doping system including a plasma doping chamber, a platen mounted in the plasma doping chamber for supporting a workpiece, a source of ionizable gas coupled to the chamber, the ionizable gas containing a desired dopant for implantation into the workpiece, a plasma source for producing a plasma having a plasma sheath in a vicinity of the workpiece, the plasma containing positive ions of the ionizable gas, and accelerating said positive ions across the plasma sheath toward the platen for implantation into the workpiece, a shield ring surrounding the platen and adapted to extend the plasma sheath beyond an edge of the workpiece, and a cover ring disposed on top of the shield ring and adapted to mitigate sputtering of the shield ring, wherein the cover ring comprises a crystalline base layer and a non-crystalline top layer.
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
39.
DISPLAY PIXELS MADE FROM STACKED MICRO-LED STRUCTURES AND PHOTOLUMINESCENT MATERIALS
Exemplary pixel structures are described that include a first light emitting diode structure, operable to generate blue light characterized by a peak emission wavelength of greater than or about 450 nm, and a second light emitting diode structure positioned on the first light emitting diode structure. The second light emitting diode structure is operable to generate ultraviolet light characterized by a peak emission wavelength of less than or about 380 nm. The pixel structures may also include a photoluminescent region, containing a photoluminescent material, that is positioned on the second light emitting diode structure.
H01L 25/075 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
H01L 33/50 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de conversion de la longueur d'onde
H01L 33/58 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de mise en forme du champ optique
H01L 33/06 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les corps semi-conducteurs ayant une structure à effet quantique ou un superréseau, p.ex. jonction tunnel au sein de la région électroluminescente, p.ex. structure de confinement quantique ou barrière tunnel
An enclosure system includes multiple walls forming an interior volume. The enclosure system is configured to couple to an equipment front end module (EFEM) of a substrate processing system. The enclosure system further includes a charging assembly including a first charging coil. The enclosure system further includes one or more first support structures disposed within the interior volume under the first charging coil. The one or more first support structures are configured to support a first validation wafer within a threshold distance of the first charging coil to charge the first validation wafer via the charging assembly.
H02J 50/00 - Circuits ou systèmes pour l'alimentation ou la distribution sans fil d'énergie électrique
H02J 50/10 - Circuits ou systèmes pour l'alimentation ou la distribution sans fil d'énergie électrique utilisant un couplage inductif
H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
A method of plating substrates may include placing a substrate in a plating chamber comprising a liquid, and applying a current to the liquid in the plating chamber to deposit a metal on exposed portions of the substrate, where the current may include alternating cycles of a forward plating current and a reverse deplating current. To determine the current characteristics, a model of a substrate may be simulated during the plating process to generate data points that relate characteristics of the plating process and a pattern on the substrate to a range nonuniformity of material formed on the substrate during the plating process. Using information from the data points, values for the forward and reverse currents may be derived and provided to the plating chamber to execute the plating process.
Embodiments disclosed herein include an electrostatic chuck. In an embodiment, the electrostatic chuck comprises a pedestal with a support surface for supporting a substrate and a second surface opposite from the support surface, and chucking electrode within the pedestal. In an embodiment, a biasing electrode is within the pedestal, and a heating element is within the pedestal. In an embodiment, the electrostatic chuck further comprises a shaft coupled to the second surface of the pedestal, and a rotation assembly coupled to the shaft to rotate the shaft and the pedestal.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
43.
PLASMA SHAPER TO CONTROL ION FLUX DISTRIBUTION OF PLASMA SOURCE
Provided herein are approaches for providing a more uniform ion flux and ion angular distribution across a wafer to minimize etch yield loss resulting from etch profile variations. In some embodiments, a system may include a plasma source operable to generate a plasma within a plasma chamber enclosed by a chamber housing, wherein the plasma source comprises a plasma shaper extending into the plasma chamber from a wall of the chamber housing. The plasma shaper may include a shaper wall coupled to the wall of the chamber housing, and a shaper end wall connected to the shaper wall, the shaper end wall defining an indentation extending towards the wall of the chamber housing.
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
44.
SILICON-CONTAINING LAYERS WITH REDUCED HYDROGEN CONTENT AND PROCESSES OF MAKING THEM
Exemplary methods of making silicon-containing layer with low hydrogen content are described. The methods include flowing deposition gases into a substrate processing region of a processing chamber, where the deposition gases include a silicon-containing gas and a hydrogen gas. A deposition plasma is generated from the deposition gases in the substrate processing region. The methods further include depositing a silicon-containing layer on a substrate from the deposition plasma, where the silicon-containing layer is characterized by a hydrogen content of less than or about 6 mol.% hydrogen. The methods also include forming an amorphous silicon layer on the silicon-containing layer, where the amorphous silicon layer includes less than or about 1 wt.% microcrystalline silicon.
C23C 16/515 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges pulsées
Processing chambers, substrate supports and thermal shields are described. A thermal shield comprises a disc-shaped body having a thickness, an outer diameter with a first edge and a second edge at opposite ends of a diameter of the disc-shaped body, a front surface and a back surface defining the thickness. The front surface has a first longitudinal region comprising the first edge and a second longitudinal region comprising the second edge. Coating one or more of the first longitudinal region or the second longitudinal region with an emissivity material (i.e., emissivity) reduces side to side temperature variation. In some embodiments, processing chambers having the thermal shield described herein consume less power than comparative processing chambers that do not include a thermal shield.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
46.
COMPREHENSIVE ANALYSIS MODULE FOR DETERMINING PROCESSING EQUIPMENT PERFORMANCE
A method includes receiving, by a processing device, first data indicative of a processing recipe. The method further includes receiving second data. The second data includes operational data associated with the processing recipe. The method further includes receiving third data. The third data includes historical data associated with the processing recipe. The method further includes performing analysis indicative of performance of a processing chamber based on the first, second, and third data. The method further includes causing performance of a corrective action in view of the analysis.
SUBSTRATE SUPPORT ASSEMBLY, SUBSTRATE PROCESSING APPARATUS METHOD FOR FIXING AN EDGE SUPPORT FRAME TO A TABLE FRAME, AND METHOD OF MANUFACTURING A PORTION OF A DISPLAY DEVICE
According to one embodiment, a substrate support assembly for supporting a substrate is provided. The substrate support assembly, includes a table body having a surface configured to face the substrate; a table frame coupled to the table body; an edge support frame movable with respect to the table frame; and one or more pins coupled to the table body or the table frame and movable with respect to the table body and configured to exert a force on the substrate.
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
H01L 51/00 - Dispositifs à l'état solide qui utilisent des matériaux organiques comme partie active, ou qui utilisent comme partie active une combinaison de matériaux organiques et d'autres matériaux; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de tels dispositifs ou de leurs parties constitutives
H01L 51/56 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de tels dispositifs ou de leurs parties constitutives
48.
MEMORY DEVICE WITH STAIRCASE FREE STRUCTURE AND METHODS FOR FORMING THE SAME
Embodiments of the disclosure include an apparatus and method of forming a non-volatile memory device that includes positioning a substrate on a surface of a substrate support disposed within a processing region of a processing chamber, delivering a processing gas composition to the processing region, and etching a plurality of alternating layers formed over a surface of the substrate. The substrate includes a hard mask layer disposed over a plurality of alternating layers, which include a first layer and a second layer that are stacked in a vertical direction. The hard mask layer includes an array of mask openings formed therein, which are aligned in a first pitch direction, and have a pitch length in the first pitch direction between adjacent mask openings in the array of openings. The substrate further includes a first photoresist layer disposed over the hard mask layer and over two or more of the mask openings, and includes an opening that has an exposed surface. The process of etching the plurality of layers includes forming a plasma in the processing region of the process chamber, wherein the plasma comprises the processing gas composition, and the process of etching the plurality of alternating layers etches the first photoresist layer so that a surface of the opening in the first photoresist layer serially exposes each of the mask openings in the array of mask openings during the etching process, and causes portions of the alternating layers disposed below the serially exposed mask openings to form patterned openings that each have a differing depth within the alternating layers.
H01L 27/11524 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par la région noyau de mémoire avec transistors de sélection de cellules, p.ex. NON-ET
H01L 27/11556 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par des agencements tridimensionnels, p.ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
H01L 27/11526 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par la région de circuit périphérique
H01L 27/11568 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec isolateurs de grille à piégeage de charge, p.ex. MNOS ou NROM caractérisées par la région noyau de mémoire
H01L 27/11573 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec isolateurs de grille à piégeage de charge, p.ex. MNOS ou NROM caractérisées par la région de circuit périphérique
H01L 27/11582 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec isolateurs de grille à piégeage de charge, p.ex. MNOS ou NROM caractérisées par des agencements tridimensionnels, p.ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. canaux en forme de U
49.
SILICIDES, ALLOYS AND INTERMETALLICS TO MINIMIZE RESISTANCE
Embodiments of the disclosure provide methods and electronic devices comprising a work function layer comprising a material that forms a weak silicide. The electronic devices comprise a silicon layer with the work function layer thereon and a metal contact on the work function layer.
Embodiments of the disclosure provide methods and electronic devices comprising a work function layer comprising a material that forms a conductive oxide with or without titanium. The electronic devices comprise a silicon layer with the work function layer thereon and a metal contact on the work function layer.
A substrate support includes a monolithic body. The monolithic body includes a central portion and a peripheral portion. The central portion includes a top surface recessed with respect to the peripheral portion. A shadow ring is configured to sit directly upon an upper surface of the peripheral portion, and overlaps a portion of a substrate positioned upon the central portion. A heating element embedded within the central portion heats the central portion, the peripheral portion, and the shadow ring.
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
52.
STABLE SILICON OXYNITRIDE LAYERS AND PROCESSES OF MAKING THEM
Exemplary methods of forming a silicon-oxygen-and-nitrogen-containing barrier layer are described. The methods include flowing deposition gases into a substrate processing region of a processing chamber, where the deposition gases include a silicon-containing gas and a nitrogen-containing gas. A deposition plasma is generated from the deposition gases in the substrate processing region. A silicon-oxygen-and-nitrogen-containing layer is deposited on a substrate from the deposition plasma, where the silicon-oxygen-and-nitrogen-containing layer is characterized by thickness of less than or about 2000 Å. The methods further include exposing a surface of the silicon-oxygen-and-nitrogen-containing layer to a treatment plasma to form a treated silicon-oxygen-and-nitrogen-containing layer, where the treatment plasma is formed from a nitrogen-containing gas and is silicon free.
H10K 71/00 - Fabrication ou traitement spécialement adaptés aux dispositifs organiques couverts par la présente sous-classe
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
H10K 102/00 - DISPOSITIFS ÉLECTRIQUES À L’ÉTAT SOLIDE ORGANIQUES - Détails de structure relatifs aux dispositifs organiques couverts par la présente sous-classe
53.
MACHINE LEARNING AND INTEGRATED METROLOGY FOR RUN-TO-RUN OPTIMIZATION OF CHIP-TO-WAFER ALIGNMENT ACCURACY
Methods, apparatuses and systems in an integrated bonding system for optimizing bonding alignment between dies and a substrates include bonding, using a bonder of the integrated bonding system, a first die to a first substrate using preset alignment settings, transferring, using a transfer arm/robot of the integrated bonding system, the bonded die-substrate combination to an on-board inspection tool of the integrated bonding system, inspecting, at the on-board inspection tool, an alignment of the bond between the die and the substrate of the bonded die-substrate combination to determine a misalignment measure representing a misalignment of the bond between the die and the substrate of the bonded die-substrate combination, determining from the misalignment measurement, using a machine learning process, a correction measurement to be communicated to the bonder, and bonding, in the bonder, a different die to a different substrate using the determined machine-learning based correction measurement.
H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
54.
GRIP-BASED TRANSPORT SPEEDS FOR TRANSPORTING OBJECTS AT A MANUFACTURING SYSTEM
Systems and methods for grip-based transport speeds for objects transported at a manufacturing system is provided. A controller can detect an object placed on an end effector of a robot arm. The controller can apply vacuum pressure to secure the object to the end effector via vacuum grip pads. The controller can obtain a vacuum pressure measurement indicating the amount of vacuum pressure between the object and the end effector and determine whether the obtained vacuum pressure measurement satisfies a vacuum pressure criterion. The controller can determine a transport speed setting for transporting the object using the robot arm based on whether the obtained vacuum pressure measurement satisfies the vacuum pressure criterion. The controller can cause the robot arm to move the object according to the transport speed setting.
A method includes placing a rectangular substrate in a first deposition chamber of an electronic device manufacturing system, processing the rectangular substrate in the first deposition chamber for a first pass having a first number of deposition cycles, and after processing the rectangular substrate in the first deposition chamber, rotating the rectangular substrate about 180 degrees.
C23C 16/54 - Appareillage spécialement adapté pour le revêtement en continu
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
56.
DEPOSITION APPARATUS, SUBSTRATE PROCESSING SYSTEM AND METHOD FOR PROCESSING A SUBSTRATE
44019242 29475P-WO 23 ABSTRACT A deposition apparatus (110) for processing a substrate supported on a substrate support is provided. The deposition apparatus includes a vacuum chamber (112) having a lid assembly (114), one or more pump ports arranged at a first side wall of the vacuum chamber, the one or more pump ports being asymmetrically arranged with respect to the substrate support and configured to connect one or more vacuum pumps to the vacuum chamber and a processing compartment (120) having a first lateral wall (122) and an upper wall (124), the first lateral wall (122) being adjacent to the first side wall of the vacuum chamber. The processing compartment (120) is surrounded by the vacuum chamber providing a pumping channel surrounding the processing compartment. The upper wall (124) is configured for allowing a gas flow to flow from the processing compartment to the vacuum chamber via the upper wall. The pumping channel includes a first cross-section having a first area (117) extending from the first lateral wall (122) to the first side wall of the vacuum chamber (112); and a second cross-section having a second area (118) extending from the upper wall (124) to the lid assembly (114). The second area (118) is substantially equal to or larger than the first area (117). (FIG. 1A)
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
H01J 37/34 - Tubes à décharge en atmosphère gazeuse fonctionnant par pulvérisation cathodique
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
An apparatus for inserting a seal member into a seal groove includes a tray. The tray includes a holding groove formed in a front surface for containing the seal member. The holding groove is sized and shaped to correspond with the seal groove. A method of installing a seal member into a seal groove includes aligning the holding groove with the seal groove, and applying a pressure to a back surface of the tray, thereby deforming the tray and inserting the seal member into the seal groove.
H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
58.
LOW CONTACT RESISTANCE UNSILICIDES FOR SEMICONDUCTOR APPLICATIONS
Embodiments of the disclosure provide methods and electronic devices comprising a work function layer comprising a material that does not form a silicide. The electronic devices comprise a silicon layer with the work function layer thereon and a metal contact on the work function layer.
Disclosed herein is a pumping liner, having a gas inlet configured to receive a process gas; openings in communication with the gas inlet, the openings configured to surround a substrate support and to direct the process gas onto the substrate support. At least a portion of the openings each has a different size. Each of the openings is configured to provide a gas mass flow rate that is within ±5% of a target gas mass flow rate. The pumping liner further includes a gas outlet configured to receive unreacted process gas and reacted process gas byproducts.
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
60.
INKJET INKS FOR DEPOSITION AND REMOVAL IN A LASER DICING PROCESS
Methods of dicing optical devices from an optical device substrate are disclosed. The methods include disposing a protective coating only over the optical devices. The optical device substrate includes the optical devices disposed on the surface of the optical device substrate with areas therebetween. The areas of the optical device substrate are exposed by the protective coating. The protective coating includes a polymer, a solvent, and an additive. The methods further include curing the protective coating via a cure process so that the protective coating is water-soluble after the solvent is removed by the cure process, dicing the optical devices from the optical device substrate by projecting a laser beam to the areas between the optical devices, and exposing the protective coating to water to remove the protective coating from the optical devices that are diced.
B23K 26/38 - Enlèvement de matière par perçage ou découpage
B23K 26/00 - Travail par rayon laser, p.ex. soudage, découpage ou perçage
B23K 26/402 - Enlèvement de matière en tenant compte des propriétés du matériau à enlever en faisant intervenir des matériaux non métalliques, p.ex. des isolants
EWEWW (x, y) ); and determining a topography (T) of the first surface portion based on the surface steepness in the x-direction. Further provided is a scanning electron microscope configured to perform such a method.
Exemplary semiconductor processing methods may include providing a fluorine-containing precursor and a hydrogen-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region of the semiconductor processing chamber. The substrate may include at least one layer of silicon-containing material and at least one layer of silicon-and-germanium-containing material along the substrate. The methods may include forming a plasma of the fluorine-containing precursor and the hydrogen-containing precursor within the processing region. The methods may include contacting the at least one layer of silicon-containing material and the at least one layer of silicon-and-germanium-containing material with plasma effluents of the fluorine-containing precursor and the hydrogen-containing precursor. The methods may include removing the at least one layer of silicon-containing material at a higher rate than the at least one layer of silicon-and-germanium-containing material.
H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
The subject matter of this specification can be implemented in, among other things, methods, systems, computer-readable storage medium. A method can include receiving (i) sensor data indicating a first state of an environment of a processing chamber processing a substrate subsequent to a chamber recovery procedure, and (ii) substrate process data indicating a set of process parameter values associated with performing a substrate processing procedure by the processing chamber having the environment in a second state prior to the chamber recovery procedure. The method further includes processing the sensor data and the substrate process data using one or more machine learning models to determine one or more outputs. The one or more outputs include an update to at least one of the set of process parameter values. The update is associated with performing the substrate processing procedure by the processing chamber having the environment in the first state.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
Methods of manufacturing and processing semiconductor devices (i.e., electronic devices) are described. Embodiments of the disclosure advantageously provide electronic devices which comprise an integrated dipole region to meet reduced thickness and lower thermal budget requirements. The electronic devices described herein comprise a source region, a drain region, and a channel separating the source region and the drain region, and a dipole region having an interfacial layer, a metal film substantially free of non-metal atoms on the interfacial layer, and a high-ĸ dielectric layer on the metal film. In some embodiments, the dipole region of the electronic devices comprises an interfacial layer, a high-ĸ dielectric layer on the interfacial layer, and a metal film on the high-ĸ dielectric layer. In some embodiments, the methods comprise annealing the substrate to drive particles of metal from the metal film into one or more of the interfacial layer or the high-ĸ dielectric layer.
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
H01L 29/51 - Matériaux isolants associés à ces électrodes
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
65.
GATE ALL AROUND BACKSIDE POWER RAIL WITH DIFFUSION BREAK
Semiconductor devices and methods of manufacturing the same are described. The method includes forming a diffusion break opening on the backside and filling with a diffusion break material to service as a planarization stop. In some embodiments, a single diffusion break opening is formed. In other embodiments, a mixed diffusion break opening is formed.
H01L 23/528 - Configuration de la structure d'interconnexion
H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/66 - Types de dispositifs semi-conducteurs
66.
GATE ALL AROUND BACKSIDE POWER RAIL FORMATION WITH MULTI-COLOR BACKSIDE DIELECTRIC ISOLATION SCHEME
Semiconductor devices and methods of manufacturing the same are described. The method includes forming distinct and separate bottom dielectric isolation layers underneath the source/drain and underneath the gate of a gate all around device. Selectively remove of the bottom dielectric isolation layer underneath the source/drain results in better backside power rail (BPR) via alignment to the source/drain epi and reduces reliability and gate-shorting problems.
H01L 29/66 - Types de dispositifs semi-conducteurs
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
H01L 23/528 - Configuration de la structure d'interconnexion
67.
ANTI-REFLECTIVE MULTILAYER FILM AND METHOD FOR MANUFACTURING AN ANTI-REFLECTIVE MULTILAYER FILM
An anti -reflective multilayer film and a method for manufacturing an anti-reflective multilayer film are provided. The anti-reflective multilayer film includes a substrate, at least one high-reflective layer over the substrate, and an uppermost low refractive index nanoporous layer over the least one high-reflective layer, wherein the at least one high-reflective layer has a refractive index of more than 1.7 and the uppermost low refractive index nanoporous layer has a refractive index of less than 1.7. The method includes: providing a substrate, depositing at least one high-reflective layer material on the substrate to form at least one high-reflective layer, and depositing a low refractive index layer material including low refractive index nanoparticles on the at least one high-reflective layer to form an uppermost low refractive index nanoporous layer, wherein the at least one high-reflective layer has a refractive index of more than 1.7 and the uppermost low refractive index nanoporous layer has a refractive index of less than 1.7.
G02B 1/111 - Revêtements antiréfléchissants utilisant des couches comportant des matériaux organiques
G02B 1/12 - Revêtements optiques obtenus par application sur les éléments optiques ou par traitement de la surface de ceux-ci par traitement de la surface, p.ex. par irradiation
C09D 5/00 - Compositions de revêtement, p.ex. peintures, vernis ou vernis-laques, caractérisées par leur nature physique ou par les effets produits; Apprêts en pâte
C09D 1/00 - Compositions de revêtement, p.ex. peintures, vernis ou vernis-laques, à base de substances inorganiques
B05D 7/00 - Procédés, autres que le flocage, spécialement adaptés pour appliquer des liquides ou d'autres matériaux fluides, à des surfaces particulières, ou pour appliquer des liquides ou d'autres matériaux fluides particuliers
68.
ENDPOINT DETECTION IN LOW OPEN AREA AND/OR HIGH ASPECT RATIO ETCH APPLICATIONS
Disclosed herein is a method for determining the endpoint of an etch operation used for forming high aspect ratio features and/or over low open area (<1 %) on a substrate in a processing chamber. The method begins by obtaining a reference emission curve. An etch operation is performed on a patterned substrate. A plasma optical emission intensity is measured for each of the etch cycles. A differential curve between the reference emission and the plasma optical emissions is calculated. And endpoint is determined for the etch operation on the first substrate based on an inflection point detection or other unique features through pattern recognition in the differential curve for stopping the etch of the first substrate.
A pad carrier assembly that includes a coupling base and a pad carrier coupled to the coupling base, the coupling base and the pad carrier are configured to support a buffing pad by a mechanical clamping mechanism.
An apparatus for processing a semiconductor substrate, such as an optical device, is described herein. The apparatus includes a substrate carrier which is configured to enable a processing chamber configured to process larger substrates to process a smaller substrate without retrofitting the processing chamber. The substrate carrier includes a carrier base and a clamp ring. The carrier base includes a plurality of gas channels formed within a substrate pocket. The clamp ring is disposed on the carrier base and over the substrate and holds the substrate in place. The clamp ring is either weighted or configured to be help by a separate chamber clamping mechanism.
C23C 14/54 - Commande ou régulation du processus de revêtement
C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
Hard masks and methods of forming hard masks are described. The hard mask has an average roughness less than 10 nm and a modulus greater than or equal to 400 GPa. The method comprises exposing a substrate to a deposition gas comprising a dopant gas or a precursor (solid (e.g. Alkylborane compounds) or liquid (e.g. Borazine)), a carbon gas and argon at a temperature less than or equal to 550 C, and igniting a plasma from the deposition gas to form an ultrananocrystalline diamond film having an average roughness less than 10 nm and a modulus greater than or equal to 400 GPa.
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
C23C 16/511 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à micro-ondes
A substrate carrier and methods of assembling the substrate carrier are described herein. The substrate carrier includes a plurality of vacuum transfer channels to vacuum chuck a bottom of a substrate. The plurality of vacuum transfer channels reduce the radial position of the vacuum to enable vacuum chucking of the substrate from a radially outward vacuum position to an inward vacuum position. The substrate is positioned in a pocket on the substrate carrier. The substrate carrier is a glass material.
H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
73.
HIGH PRECISION AND HIGH THROUGHPUT MEASUREMENT OF PERCENTAGE LIGHT LOSS OF OPTICAL DEVICES
Embodiments described herein relate to an optical device metrology system including a light source to emit a light and a non-polarizing beam splitter to split the light into a first photodetector light path and an optical light path. A first photodetector is disposed in the first photodetector light path and measures a total power of the light. The optical device substrate is disposed in the optical light path and splits the light into a second and a third photodetector light path. A second photodetector is disposed in the second photodetector light path from the optical device substrate. The second photodetector measures a reflected power of the light. A third photodetector is disposed in the third photodetector light path. The third photodetector measures a transmitted power of the light. The controller receives measurements from the first, second, and third photodetectors to calculate a percentage light loss within the optical device substrate.
An integrated voltage regulator (IVR) for on-chip integrated circuit applications may include a tunable inductor that may be adjusted to generate a target output for the IVR. The tunable inductor may include a piezoelectric material that may cause the relative permeability of the inductor to change based on an applied stimulus voltage. A control circuit may receive a target value, such as a target output voltage, and retrieve or calculate a target inductance value or voltage to be applied to the inductor to generate the target output value. A feedback circuit may monitor the output value and adjust the switching frequency or voltage applied to the inductor during operation in order to adjust the output value.
H02M 1/00 - APPAREILS POUR LA TRANSFORMATION DE COURANT ALTERNATIF EN COURANT ALTERNATIF, DE COURANT ALTERNATIF EN COURANT CONTINU OU VICE VERSA OU DE COURANT CONTINU EN COURANT CONTINU ET EMPLOYÉS AVEC LES RÉSEAUX DE DISTRIBUTION D'ÉNERGIE OU DES SYSTÈMES D'ALI; TRANSFORMATION D'UNE PUISSANCE D'ENTRÉE EN COURANT CONTINU OU COURANT ALTERNATIF EN UNE PUISSANCE DE SORTIE DE CHOC; LEUR COMMANDE OU RÉGULATION - Détails d'appareils pour transformation
H02M 3/156 - Transformation d'une puissance d'entrée en courant continu en une puissance de sortie en courant continu sans transformation intermédiaire en courant alternatif par convertisseurs statiques utilisant des tubes à décharge avec électrode de commande ou des dispositifs à semi-conducteurs avec électrode de commande utilisant des dispositifs du type triode ou transistor exigeant l'application continue d'un signal de commande utilisant uniquement des dispositifs à semi-conducteurs avec commande automatique de la tension ou du courant de sortie, p.ex. régulateurs à commutation
H10N 30/40 - Dispositifs piézo-électriques ou électrostrictifs à entrée électrique et sortie électrique, p.ex. fonctionnant comme transformateurs
H01F 29/14 - Transformateurs ou inductances variables non couverts par le groupe à polarisation magnétique variable
75.
METHODS AND APPARATUS FOR FORMING BACKSIDE POWER RAILS
A method that forms a sacrificial fill material that can be selectively removed for forming a backside contact via for a transistor backside power rail. In some embodiments, the method may include performing an etching process on a substrate with an opening that is conformally coated with an oxide layer, wherein the etching process is an anisotropic dry etch process using a chlorine gas to remove the oxide layer from a field of the substrate and only from a bottom portion of the opening, and wherein the etching process forms a partial oxide spacer in the opening and increases a depth of the opening and epitaxially growing the sacrificial fill material in the opening by flowing a hydrogen chloride gas at a rate of approximately 60 seem to approximately 90 seem in a chamber pressure of approximately 1 Torr to approximately 100 Torr.
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
76.
CALIBRATION ASSEMBLY FOR A LITHIUM DEPOSITION PROCESS, LITHIUM DEPOSITION APPARATUS, AND METHOD OF DETERMINING A LITHIUM DEPOSITION RATE IN A LITHIUM DEPOSITION PROCESS
A calibration assembly for a lithium deposition process is described. The calibration assembly includes a carrier, and a piezoelectric resonator coupled to the carrier. The calibration assembly is configured for being processed in the lithium deposition process. The lithium deposition process includes a passivation. The piezoelectric resonator is configured for being electrically connected to a driver for determining a resonant frequency of the piezoelectric resonator. The resonant frequency is indicative of a thickness of a lithium film deposited on the piezoelectric resonator in the lithium deposition process. A change of the resonant frequency over time is indicative of the passivation of the lithium film.
G01N 29/12 - Analyse de solides en mesurant la fréquence ou la résonance des ondes acoustiques
C23C 14/54 - Commande ou régulation du processus de revêtement
G01B 7/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques électriques ou magnétiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
77.
MACHINE LEARNING MODEL GENERATION AND UPDATING FOR MANUFACTURING EQUIPMENT
A method includes determining that conditions of a processing chamber have changed since a trained machine learning model associated with the processing chamber was trained. The method further includes determining whether a change in the conditions of the processing chamber is a gradual change or a sudden change. Responsive to determining that the change in the conditions of the processing chamber is a gradual change, the method further includes performing a first training process to generate a new machine learning model. Responsive to determining that the change in the conditions of the processing chamber is a sudden change, the method further includes performing a second training process to generate the new machine learning model. The first training process is different from the second training process.
G06N 20/20 - Techniques d’ensemble en apprentissage automatique
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
Embodiments of the present disclosure generally relate to methods for providing real-time characterization of photoresist properties. In some embodiments, a method of preparing a patterned photoresist on a substrate includes forming an unpatterned photoresist on the substrate, exposing the unpatterned photoresist to a first dose of EM radiation at a first location on the unpatterned photoresist with a first light source, and measuring an optical property of the unpatterned photoresist and exposing the unpatterned photoresist to a second dose of EM radiation at the first location on the unpatterned photoresist to create a patterned or partially patterned photoresist. The second dose of EM radiation has a greater wavelength, a greater number of pulses, or a longer exposure period than the first dose of EM radiation with a second light source. Also, at least one of the first light source and the second light source is an on-board metrology device.
A system may include a first semiconductor processing station configured to deposit a material on a first semiconductor wafer, a second semiconductor processing station configured perform measurements indicative of a thickness of the material after the material has been deposited on the first semiconductor wafer, and a controller. The controller may be configured to receive the measurements from the second station; provide an input based on the measurements to a trained model that is configured to generate an output that adjusts an operating parameter of the first station such that the thickness of the material is closer to a target thickness; and causing the first station to deposit the material on a second wafer using the operating parameter as adjusted by the output.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
Embodiments described herein relate to a device including a substrate, a plurality of adjacent pixel-defining layer (PDL) structures disposed over the substrate, and a plurality of sub-pixels. Each sub-pixel includes adjacent first overhangs, adjacent second overhangs, an anode, a hole injection layer (HIL) material, an additional organic light emitting diode (OLED) material, and a cathode. Each first overhang is defined by a body structure disposed over and extending laterally past a base structure disposed over the PDL structure. Each second overhang is defined by a top structure disposed over and extending laterally past the body structure. The HIL material is disposed over and in contact with the anode and disposed under the adjacent first overhangs. The additional OLED material is disposed over the HIL material and extends under the first overhang.
H10K 59/122 - Structures ou couches définissant le pixel, p. ex. bords
H10K 50/17 - Couches d'injection des porteurs de charge
H10K 59/80 - Dispositifs intégrés, ou ensembles de plusieurs dispositifs, comprenant au moins un élément organique émetteur de lumière couvert par le groupe - Détails de structure
H10K 59/35 - Dispositifs spécialement adaptés à l'émission de lumière multicolore comprenant des sous-pixels rouge-vert-bleu [RVB]
81.
SIMULATION OF ATOMISTIC DEFECTS IN NANOELECTRONICS USING POLYHEDRAL MESHES
A simulation of an electronic device may use a distribution of atomistic defects to provide more accurate results. An input mesh may be received representing a physical structure of the electronic device. This input mesh may be transformed into a polyhedral mesh to facilitate the simulation. A distribution of defects may then be generated and distributed throughout the polyhedral mesh. When performing each time step of the simulation, the effects of these defects may be attributed to individual cells in the polyhedral mesh and incorporated into the simulation equations for each volume. For example, charge and power contributions from the defects may be incorporated into the simulation equations to more accurately model the performance of the device.
G06F 30/367 - Vérification de la conception, p.ex. par simulation, programme de simulation avec emphase de circuit intégré [SPICE], méthodes directes ou de relaxation
G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation
G06F 119/02 - Analyse de fiabilité ou optimisation de fiabilité; Analyse de défaillance, p.ex. performance dans le pire scénario, analyse du mode de défaillance et de ses effets [FMEA]
82.
A PROCEDURE TO ENABLE DIE REWORK FOR HYBRID BONDING
Methods of bonding one or more dies to a substrate are provided herein. In some embodiments, a method of bonding one or more dies to a substrate includes: applying a material coating on the one or more dies or the substrate; placing the one or more dies on the substrate so that the one or more dies temporarily adhere to the substrate via surface tension or tackiness of the material coating; inspecting each of the one or more dies that are placed on the substrate for defects; and removing any of the one or more dies that are found to have defects.
H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
83.
APPARATUS AND METHOD FOR FABRICATING PVD PEROVSKITE FILMS
Embodiments described herein relate to a method of fabricating a perovskite film device. The method includes heating and degassing a substrate within a processing system; depositing a first perovskite film layer over a surface of the substrate using multi-cathode sputtering deposition within a processing chamber; depositing a second perovskite film layer over the first perovskite film layer using multi-cathode sputtering deposition within a processing chamber; and annealing the substrate with the first perovskite film layer and second perovskite film layer disposed thereon. The first perovskite film layer includes a first perovskite material. The second perovskite film layer includes a second perovskite material.
Electrostatic chucks and method for forming the same are described herein. The electrostatic chucks include a backside gas passage having a ceramic porous plug secured therein by a ceramic body of the chuck with a ceram ic-to-ceramic body. In one example, ceramic porous plug is sintered with the ceramic body.
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
H01J 37/32 - Tubes à décharge en atmosphère gazeuse
Exemplary semiconductor processing methods may include depositing a boron-containing material on the substrate. The boron-containing material may extend along sidewalls of the one or more features in the substrate. The methods may include forming a plasma of an oxygen-containing precursor and contacting the substrate with plasma effluents of the oxygen-containing precursor. The contacting may etch a portion of the one or more features in the substrate. The contacting may oxidize the boron-containing material.
H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
86.
CHAMBER IONIZER FOR REDUCING ELECTROSTATIC DISCHARGE
Exemplary substrate processing systems may include a chamber including a body. The body may have one or more sidewalls. The one or more sidewalls may define an opening. The body may define an interior region. A plurality of substrate support pins may be disposed within the interior region. A plurality of ionizers may be coupled to one or more of the sidewalls the body. Light sources of each of the plurality of ionizers may be oriented toward the opening defined in the one or more sidewalls.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
H05F 3/06 - Enlèvement des charges électrostatiques au moyen de radiations ionisantes
Embodiments described herein provide for a method and system for the inspection of fluids for defects. A plurality of containers with fluids disposed therein are inspected for defects in an inspection system. A timing sequence is used to control the timing of light pulses directed to the fluid residing in the plurality of containers. A high-resolution camera is utilized to obtain images of the fluid disposed in the plurality of containers. An illumination time of pulses of light in the inspection zone is less than an exposure time of each frame of a plurality of frames of the high-resolution camera. As such, the inspection system and method of utilizing the inspection system allows for high-resolution images of the fluid to be captured without smearing of the defects in the captured images.
A method of operating a coating system provided in a vacuum chamber is described. The coating system includes a coating drum for transporting a substrate, at least one electron beam gun for evaporating a coating material, and an electron catcher. The electron catcher includes an anode for collecting free electrons in the vacuum chamber. The anode is heatable via a heating current. The electron catcher is configured such that the heating current passes through the anode or the electron catcher includes a resistive heater thermally coupled with the anode, with the electron catcher being configured such that the heating current passes through the resistive heater. The method includes: an automatic adjustment of at least one parameter selected from a group consisting of: the heating current, a DC bias voltage of the anode, a position of the anode, and a pressure in the vacuum chamber. The automatic adjustment includes a measurement of an electron collection current passing through the anode.
C23C 14/30 - Evaporation sous vide par énergie éléctromagnétique ou par rayonnement corpusculaire par bombardement d'électrons
C23C 14/54 - Commande ou régulation du processus de revêtement
C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche
H01J 37/00 - Tubes à décharge pourvus de moyens permettant l'introduction d'objets ou d'un matériau à exposer à la décharge, p.ex. pour y subir un examen ou un traitement
H01J 37/30 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets
89.
ELECTROPLATING SYSTEMS AND METHODS WITH INCREASED METAL ION CONCENTRATIONS
Electroplating methods and systems are described that include adding a metal-ion-containing starting solution to a catholyte to increase a metal ion concentration in the catholyte to a first metal ion concentration. The methods and systems further include measuring the metal ion concentration in the catholyte while the metal ions electroplate onto a substrate and the catholyte reaches a second metal ion concentration that is less than the first metal ion concentration. The methods and systems additionally include adding a portion of an anolyte directly to the catholyte when the catholyte reaches the second metal ion concentration. The addition of the portion of the anolyte increases the metal ion concentration in the catholyte to a third metal ion concentration that is greater than or about the first metal ion concentration.
C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
90.
ESTIMATION OF CHAMBER COMPONENT CONDITIONS USING SUBSTRATE MEASUREMENTS
A method includes processing a substrate in a process chamber according to a recipe, wherein the substrate comprises at least one of a film or a feature after the processing. The method further includes generating a profile map of the first substrate. The method further includes processing data from the profile map using a first model, wherein the first model outputs at least one of an estimated mesa condition of a substrate support for the process chamber, an estimated lift pin location condition of the substrate support an estimated seal band condition of the substrate support, or an estimated process kit ring condition for a process kit ring for the process chamber. The method further includes outputting a notice as a result of the processing.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
C23C 16/52 - Commande ou régulation du processus de dépôt
C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
91.
GROUND RETURN FOR THIN FILM FORMATION USING PLASMA
A process kit is provided. The process kit includes: a substrate support; and one or more electrical connectors, each electrical connector attached to the substrate support, each electrical connector including: a tube; a shaft including a rim, the rim positioned inside the tube, the shaft including a first portion above the rim and a second portion below the rim, wherein at least part of the first portion is configured to move outside of the tube, and the second portion is inside the tube; and a seal, wherein the rim directly underlies at least a portion of the seal.
A method includes receiving trace sensor data associated with a first manufacturing process of a manufacturing chamber. The method further includes processing the trace sensor data by a processing device to generate summary data associated with the trace sensor data. The method further includes generating a quality index score based on the summary data. The method further includes providing an alert to a user based on the quality index score. The alert includes an indication that the manufacturing chamber performance does not meet a first threshold.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
93.
DIAGNOSTIC TOOL TO TOOL MATCHING AND COMPARATIVE DRILL-DOWN ANALYSIS METHODS FOR MANUFACTURING EQUIPMENT
A method includes receiving first data associated with measurements taken by a sensor during a first manufacturing procedure of a manufacturing chamber. The method further includes receiving second data. The second data includes reference data associated with the first data. The method further includes providing the first and second data to a comparison model. The method further includes receiving a similarity score from the comparison model, associated with the first and second data. The method further includes performance of a corrective action in view of the similarity score.
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
A method includes receiving trace sensor data associated with a first manufacturing process of a processing chamber. The method further includes processing the trace sensor data using one or more trained machine learning models that generate a representation of the trace sensor data, and then generate reconstructed sensor data based on the representation of the trace sensor data. The method further includes comparing the trace sensor data to the reconstructed sensor data. The method further includes determining one or more differences between the reconstructed sensor data and the trace sensor data. The method further includes determining whether to recommend a corrective action associated with the processing chamber based on the one or more differences between the trace sensor data and the reconstructed sensor data.
Methods of depositing a nanocrystalline diamond film are described. The method may be used in the manufacture of integrated circuits. Methods include treating a substrate with a mild plasma to form a treated substrate surface, incubating the treated substrate with a carbon-rich weak plasma to nucleate diamond particles on the treated substrate surface, followed by treating the substrate with a strong plasma to form a nanocrystalline diamond film.
C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
96.
WAFER IMMERSION IN SEMICONDUCTOR PROCESSING CHAMBERS
A semiconductor processing chamber may process wafers by submerging the wafers in a liquid. To determine when the liquid is free of disturbances or contaminants and thus ready to receive the next wafer, a camera may be positioned to capture images of the liquid after a wafer has been removed from the liquid.. A controller may provide the images of the liquid to a neural network to determine when the liquid is ready based on an output of the neural network. The neural network may be trained to identify disturbances, such as ripples, bubbles, or contaminants in the liquid. The controller may then begin controlling the next semiconductor process and submerge the next wafer.
C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
Exemplary methods of semiconductor processing may include performing an electroplating operation on a semiconductor substrate in an electroplating bath within a vessel of an electroplating system. The methods may include removing the semiconductor substrate from the electroplating bath. The methods may include closing a valve associated with a first drain from the electroplating system. The methods may include increasing flow to a second drain from the electroplating system. The second drain may be associated with a drain channel from the vessel of the electroplating system.
C25D 5/08 - Dépôt avec déplacement de l'électrolyte, p.ex. dépôt par projection de l'électrolyte
C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
An apparatus includes a substrate holder, a first actuator to rotate the substrate holder, a second actuator to move the substrate holder linearly, a first sensor to generate one or more first measurements or images of the substrate, a second sensor to generate one or more second measurements of target positions on the substrate, and a processing device. The processing device estimates a position of the substrate on the substrate holder and causes the first actuator to rotate the substrate holder about a first axis. The rotation causes an offset between a field of view of the second sensor and a target position on the substrate due to the substrate not being centered on the substrate holder. The processing device causes the second actuator to move the substrate holder linearly along a second axis to correct the offset. The processing device determines a profile across a surface of the substrate based on the one or more second measurements of the target positions.
G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur
G01B 11/03 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur en mesurant les coordonnées de points
H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
Methods and apparatus for processing a first substrate and a second substrate are provided herein. For example, a method of processing a substrate using extended spectroscopic ellipsometry (ESE) includes directing a beam from an extended spectroscopic ellipsometer toward a first surface of a first substrate and a second surface of a second substrate, which is different than the first substrate, determining in-situ ESE data from each of the first surface and the second surface during processing of the first substrate and the second substrate, measuring a change of phase and amplitude in determined in-situ ESE data, and determining one or more parameters of the first surface of the first substrate and the second surface of the second substrate using simultaneously complex dielectric function, optical conductivity, and electronic correlations from the measured change of phase and amplitude in the in-situ ESE data.
H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
H01L 21/18 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives les dispositifs présentant au moins une barrière de potentiel ou une barrière de surface, p.ex. une jonction PN, une région d'appauvrissement, ou une région de concentration de porteurs de charges les dispositifs ayant des corps semi-conducteurs comprenant des éléments du groupe IV de la classification périodique, ou des composés AIIIBV, avec ou sans impuretés, p.ex. des matériaux de dopage
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
100.
ACTIVE COOLING OF QUARTZ ENVELOPED HEATERS IN VACUUM
A heater assembly that that is effective at maintaining heating lamps at acceptable temperatures is disclosed. The heater assembly utilizes radiative heat transfer to transfer unwanted heat buildup in the heating lamps to a cooling base. One or more high emissivity films are disposed between the heating lamps and the cooling base to facilitate heat transfer. Further, a reflective coating is applied to a portion of the heating lamps to reflect heat away from the cooling base. The heater assembly may be utilized in a high vacuum environment as it does not rely on convective cooling.
H01J 61/52 - Dispositions de réfrigération; Dispositions de chauffage; Moyens pour faire circuler un gaz ou une vapeur à l'intérieur de l'espace de décharge
H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions