Applied Materials, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 7 434 pour Applied Materials, Inc. et 1 filiale Trier par
Recheche Texte
Brevet
International - WIPO
Excluant les filiales
Affiner par Reset Report
Propriétaire / Filiale
[Owner] Applied Materials, Inc. 7 434
Applied Materials Israel, Ltd. 42
Date
Nouveautés (dernières 4 semaines) 76
2024 avril (MACJ) 47
2024 mars 53
2024 février 56
2024 janvier 45
Voir plus
Classe IPC
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 1 228
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 1 009
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 635
H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension 573
H01L 21/205 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale en utilisant la réduction ou la décomposition d'un composé gazeux donnant un condensat solide, c. à d. un dépôt chimique 545
Voir plus
Résultats pour  brevets
  1     2     3     ...     75        Prochaine page

1.

COST EFFECTIVE RADIO FREQUENCY IMPEDANCE MATCHING NETWORKS

      
Numéro d'application US2022054317
Numéro de publication 2024/081015
Statut Délivré - en vigueur
Date de dépôt 2022-12-30
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Guo, Yue
  • Ramaswamy, Kartik
  • Moghadam, Farhad
  • Yang, Yang

Abrégé

Embodiments provided herein generally include apparatus and methods in a plasma processing system for rapid and inexpensive repair and replacement of RF sensors necessary for the operation of radio frequency (RF) power generation and impedance matching equipment used for generating a plasma in a plasma chamber during semiconductor processing therein. Flexible communications between equipment of the plasma processing system allows sharing of process information and equipment settings for batch processing of a plurality of semiconductor wafers during the manufacturing process. Operational settings of a master plasma processing system may be used to control the operation of a plurality of slave processing systems. In addition, the operational settings of the master plasma processing system may be recorded and reused for controlling the plurality of slave processing systems.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/40 - Adaptation automatique de l'impédance de charge à l'impédance de la source

2.

APPARATUS DESIGN FOR FILM REMOVAL FROM THE BEVEL AND EDGE OF THE SUBSTRATE

      
Numéro d'application US2023032396
Numéro de publication 2024/081085
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Houshmand, Farzad
  • Chan, Kelvin
  • Hao, Ruiying
  • French, Waye

Abrégé

Embodiments disclosed herein include a semiconductor processing tool. In an embodiment, the semiconductor processing tool comprises a pedestal, an annular separator over the pedestal to define a first domain within the annular separator and a second domain outside of the annular separator, a first gas inlet within the annular separator, and a second gas inlet outside of the annular separator.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

3.

INDUCTIVELY COUPLED PLASMA APPARATUS WITH NOVEL FARADAY SHIELD

      
Numéro d'application US2023076603
Numéro de publication 2024/081735
Statut Délivré - en vigueur
Date de dépôt 2023-10-11
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Biloiu, Costel
  • Calkins, Adam
  • Alexandrovich, Benjamin
  • Basame, Solomon Belangedi
  • Daniels, Kevin M.

Abrégé

An antenna assembly, comprising: an antenna; a dielectric enclosure surrounding the antenna; and a Faraday shield, disposed around the antenna, and arranged between the antenna and the dielectric enclosure, wherein the Faraday shield comprises a non-uniform opacity along an antenna axis of the antenna, wherein a first opacity of the Faraday shield at a first position along the antenna axis is greater than a second opacity of the Faraday shield at a second position along the antenna axis of the antenna.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

4.

ISOTROPIC SILICON NITRIDE REMOVAL

      
Numéro d'application US2023075316
Numéro de publication 2024/081509
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Korolik, Mikhail
  • Gee, Paul E.
  • Yong, Wei Ying Doreen
  • Koh, Tuck Foong
  • Sudijono, John
  • Kraus, Philip A.
  • Chua, Thai Cheng

Abrégé

Exemplary methods of etching a silicon-containing material may include flowing a first fluorine-containing precursor into a remote plasma region of a semiconductor processing chamber. The methods may include flowing a sulfur-containing precursor into the remote plasma region of the semiconductor processing chamber. The methods may include forming a plasma within the remote plasma region to generate plasma effluents of the first fluorine-containing precursor and the sulfur-containing precursor. The methods may include flowing the plasma effluents into a processing region of the semiconductor processing chamber. A substrate may be positioned within the processing region. The substrate may include a trench formed through stacked layers including alternating layers of silicon nitride and silicon oxide. The methods may include isotropically etching the layers of silicon nitride while substantially maintaining the silicon oxide.

Classes IPC  ?

5.

BIMETALLIC FACEPLATE FOR SUBSTRATE PROCESSING

      
Numéro d'application US2023032461
Numéro de publication 2024/081087
Statut Délivré - en vigueur
Date de dépôt 2023-09-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Shrivastava, Gaurav
  • Harapanhalli, Pavankumar Ramanand
  • Gondhalekar, Sudhir R.
  • Yang, Yao-Hung
  • Chang, Chih-Yang

Abrégé

A bimetallic faceplate for substrate processing is provided including a plate having a plurality of gas distribution holes and formed of a first metal having a first coefficient of thermal expansion, the plate having at least one groove around a center of the plate and spaced from the center of the plate; and a metallic element disposed in the at least one groove and fixed to the plate in the at least one groove, the metallic element having a second coefficient of thermal expansion different from the first coefficient of thermal expansion, the metallic element being symmetrically arranged on or in the plate. A chamber for substrate processing is provided that includes a bimetallic faceplate. Also, a method of making a bimetallic faceplate is provided.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

6.

RAPID THERMAL PROCESSING (RTP) CHAMBER OUTGASSING REMOVAL

      
Numéro d'application US2023032925
Numéro de publication 2024/081097
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Aderhold, Wolfgang

Abrégé

Embodiments disclosed herein include a method of monitoring a condition of a chamber. In an embodiment, the method comprises processing a substrate in the chamber, providing substrate history and chamber data to a model of the chamber, where the model of the chamber is configured to predict a chamber cleanliness, comparing the predicted chamber cleanliness against a performance limit, and flagging the chamber for preventive maintenance (PM) when the predicted chamber cleanliness is above the performance limit.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

7.

PARTICLE REDUCTION IN PHYSICAL VAPOR DEPOSITION OF AMORPHOUS SILICON

      
Numéro d'application US2023034799
Numéro de publication 2024/081221
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Fang, Peijiao
  • Li, Mingdong
  • Liu, Chengyu

Abrégé

Methods for depositing amorphous silicon films via physical vapor deposition processes are disclosed. In some embodiments, a method of depositing amorphous silicon in a physical vapor deposition (PVD) process chamber includes (a) depositing an amorphous silicon layer atop a surface of a substrate disposed on a substrate support via a physical vapor deposition process, in the meanwhile amorphous silicon is also deposited atop components within the PVD process chamber; and depositing a glue layer atop the amorphous silicon deposited on the components. The glue layer can be a silicon compound. The silicon compound can be a compound of silicon with one or more of carbon, nitrogen, or oxygen. In some embodiments, the silicon compound is SiC, Si N, SiO, SiCN, or SiON.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

8.

FILTER ISOLATION FOR EQUIPMENT FRONT END MODULE

      
Numéro d'application US2023034847
Numéro de publication 2024/081253
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Hansen, James Christopher
  • Tindel, Steven Trey
  • Reuter, Paul B.

Abrégé

Disclosed herein are systems and methods for reducing startup time of an equipment front end module (EFEM). The EFEM may include an EFEM chamber formed between a plurality of walls, an upper plenum above the EFEM chamber, the upper plenum in fluid communication with the EFEM chamber, a plurality of ducts that provide a return gas flow path enabling recirculation of gas from the EFEM chamber to the upper plenum, one or more filters that separate the upper plenum from the EFEM chamber, an isolation gate configured to block the return gas flow path responsive to the isolation gate being actuated to a closed position to isolate the one or more filters from an ambient environment responsive to a gas being flowed through the upper plenum when the EFEM chamber is opened to the ambient environment.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

9.

DETERMINING SUBSTRATE PROFILE PROPERTIES USING MACHINE LEARNING

      
Numéro d'application US2023076657
Numéro de publication 2024/081764
Statut Délivré - en vigueur
Date de dépôt 2023-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Li, Thomas
  • Ummethala, Upendra V.
  • Erickson, Blake
  • Kumar, Prashanth
  • Kutney, Michael
  • Tindel, Steven Trey
  • Zhu, Zhaozhao

Abrégé

Spectral data associated with a first prior substrate and/or a second prior substrate is obtained. A metrology measurement value associated with the first portion of the first prior substrate is determined based on one or more metrology measurement values measured for at least one of a second portion of the first prior substrate or a third portion of a second prior substrate. Training data for training a machine learning model to predict metrology measurement values of a current substrate is generated. Generating the training data includes generating a first training input including the spectral data associated with the first prior substrate and generating a first target output for the first training input, the first target output including the determined metrology measurement value associated with the first portion of the first prior substrate. The training data is provided to train the machine learning model.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

10.

METHODS FOR FORMING DRAM DEVICES WITHOUT TRENCH FILL VOIDS

      
Numéro d'application CN2022124946
Numéro de publication 2024/077525
Statut Délivré - en vigueur
Date de dépôt 2022-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gu, Sipeng
  • Hong, Liang

Abrégé

Disclosed herein are approaches for forming dynamic DRAM devices without trench fill voids. A method may include providing a plurality of trenches in a substrate, the plurality of trenches defining a plurality of device structures, and depositing a plurality of layers over the device structures. The layers may include a first layer over the device structures, a second layer over the first layer, and a third layer over the second layer. The method may further include forming a plurality of contact trenches through the plurality of layers to expose one or more device structures of the plurality of device structures, and directing ions into a sidewall of the trenches at a non-zero angle, wherein the ions impact the third layer without impacting the second layer. The method may further include forming a fill material within the trenches after the ions are directed into the sidewall of the trenches.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]
  • C23C 14/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques

11.

METHODS AND SYSTEMS FOR A SPECTRAL LIBRARY AT A MANUFACTURING SYSTEM

      
Numéro d'application US2023076738
Numéro de publication 2024/081815
Statut Délivré - en vigueur
Date de dépôt 2023-10-12
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tsai, Hsinyi
  • Li, Thomas
  • Zhu, Zhaozhao
  • Kutney, Michael
  • Ummethala, Upendra V.

Abrégé

Spectral data associated with one or more regions of a surface of a substrate is identified. The substrate has been processed according to one or more first operations of a process recipe that is unknown to a system controller for the manufacturing system. The spectral data is provided as input to a machine learning model that is trained to predict, based on given spectral data, a respective process recipe associated with the substrate and one or more operations of the respective process recipe that have already been performed. A determination is made, based on one or more outputs of the machine learning model, that the substrate is associated with the process recipe and that one or more second operations are yet to be performed. The substrate is caused to be processed according to the one or more second operations of the process recipe.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • G05B 13/04 - Systèmes de commande adaptatifs, c. à d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques impliquant l'usage de modèles ou de simulateurs
  • G06N 20/00 - Apprentissage automatique

12.

METHODS AND APPARATUS FOR COOLING A SUBSTRATE SUPPORT

      
Numéro d'application US2023034786
Numéro de publication 2024/081210
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Decottignies, Robert Irwin
  • Fish, Roger Bradford
  • Szudarski, Steven
  • Kintner, Shane Lawrence

Abrégé

Methods and apparatus for processing a substrate are provided herein. For example, an apparatus for processing a substrate comprises a process chamber configured to process a substrate, a substrate support comprising a heat sink configured to cool the substrate support during operation and a water trap panel comprising a pumping ring configured to cool the water trap panel such that the water trap panel condenses water vapor molecules and drops a process chamber pressure during operation, and a chiller operably coupled to the substrate support and configured to supply a cooling fluid to the substrate support via a cooling fluid line that connects to the heat sink and the pumping ring via a serial configuration or a parallel configuration.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 14/50 - Porte-substrat

13.

DRY ETCHING WITH ETCH BYPRODUCT SELF-CLEANING

      
Numéro d'application US2023034749
Numéro de publication 2024/081194
Statut Délivré - en vigueur
Date de dépôt 2023-10-09
Date de publication 2024-04-18
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Yao, Zhonghua
  • Fu, Qian
  • Saly, Mark J.
  • Yang, Yang
  • Anthis, Jeffrey W.
  • Knapp, David
  • Sathiyanarayanan, Rajesh

Abrégé

A method includes providing, within an etch chamber, a base structure including a target layer disposed on a substrate, and an etch mask disposed on the target layer, dry etching, within the etch chamber, the target layer using thionyl chloride to obtain a processed base structure, and after forming the plurality of features. The processed base structure includes a plurality of features and a plurality of openings defined by the etch mask. The method further includes removing the processed base structure from the etch chamber. In some embodiments, the target layer includes carbon. In some embodiments, the dry etching is performed at a subzero degree temperature.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

14.

IN-SITU ELECTRIC FIELD DETECTION METHOD AND APPARATUS

      
Numéro d'application US2022054313
Numéro de publication 2024/076357
Statut Délivré - en vigueur
Date de dépôt 2022-12-30
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Guo, Yue
  • Yang, Yang
  • Ramaswamy, Kartik
  • Silveira, Fernando
  • Azad, A N M Wasekul

Abrégé

Embodiments of the disclosure include an electric field measurement system that includes a first light source, a first light sensor configured to receive electromagnetic energy transmitted from the first light source, an electro-optic sensor, and a controller. The electro-optic sensor may include a package comprising a first electro-optic crystal disposed within a body; and at least one optical fiber. The optical fiber is configured to transmit electromagnetic energy transmitted from the first light source to a surface of the first electro-optic crystal, and transmit at least a portion of the electromagnetic energy transmitted to the surface of the first electro-optic crystal and subsequently passed through at least a portion of the first electro-optic crystal to the first light sensor that is configured to generate a signal based on an attribute of the electromagnetic energy received by the first light sensor from the at least one optical fiber. The controller is configured to generate a command signal based on a signal received from the first light sensor.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

15.

HALOGEN-RESISTANT THERMAL BARRIER COATING FOR PROCESSING CHAMBERS

      
Numéro d'application US2023017115
Numéro de publication 2024/076386
Statut Délivré - en vigueur
Date de dépôt 2023-03-31
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tavakoli, Amir H.
  • Moradian, Ala
  • Ishikawa, Tetsuya

Abrégé

A coating on a processing chamber component includes a metallic bond layer deposited on a surface of the component. A thermal barrier layer is deposited on the bond layer. A substantially non-porous ceramic sealing layer is deposited on the thermal barrier layer. The sealing layer substantially conforms to irregularities of the surface of the thermal barrier layer. A chemistry of the sealing layer is selected for resistance to attack from halogen-containing chemicals.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

16.

CHAMBERS AND RELATED METHODS AND STRUCTURES FOR BATCH COOLING OR HEATING

      
Numéro d'application US2023017802
Numéro de publication 2024/076390
Statut Délivré - en vigueur
Date de dépôt 2023-04-06
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Moradian, Ala

Abrégé

The present disclosure relates to chambers and related methods and structures for batch cooling or heating. In one implementation, a chamber applicable for use in semiconductor manufacturing includes a base, a lid, and one or more sidewalls between the base and the lid. The base, the lid, and the one or more sidewalls at least partially define an internal volume. The chamber includes a cassette disposed in the internal volume. The cassette includes a first outer plate, a second outer plate spaced from the first outer plate, and a plurality of levels between the first outer plate and the second outer plate. The plurality of levels include a plurality of substrate supports spaced from each other between the first outer plate and the second outer plate. The chamber includes one or more baffles disposed outwardly of the cassette.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés

17.

DIELECTRIC-ON-DIELECTRIC SELECTIVE DEPOSITION USING ANILINE PASSIVATION

      
Numéro d'application US2023034396
Numéro de publication 2024/076587
Statut Délivré - en vigueur
Date de dépôt 2023-10-03
Date de publication 2024-04-11
Propriétaire
  • APPLIED MATERIALS, INC. (USA)
  • THE REGENTS OF THE UNIVERSITY OF CALIFORNIA (USA)
Inventeur(s)
  • Wong, Keith T.
  • Nemani, Srinivas D.
  • Yieh, Ellie Y.
  • Kummel, Andrew C.
  • Cho, Yunil
  • Huang, James

Abrégé

A method includes forming a conductive material on a first dielectric layer, exposing the conductive material to aniline to produce a passivated surface of the conductive material, and after exposing the conductive material to aniline, forming a second dielectric layer on the first dielectric layer using a deposition process. The deposition process is a water-free and plasma-free deposition process, and the second dielectric layer does not form on the passivated surface of the conductive material.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C23C 16/40 - Oxydes
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/34 - Nitrures
  • C23C 16/02 - Pré-traitement du matériau à revêtir

18.

BIPOLAR ELECTROSTATIC CHUCK FOR ETCH CHAMBER

      
Numéro d'application US2023034695
Numéro de publication 2024/076767
Statut Délivré - en vigueur
Date de dépôt 2023-10-06
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Oki, Shinichi
  • Aoki, Yuji
  • Byregowda Shivalingaiah, Trishul

Abrégé

Embodiments of bipolar electrostatic chucks are provided herein. In some embodiments, a bipolar electrostatic chuck includes a ceramic plate; a plurality of electrodes disposed in the ceramic plate, wherein the plurality of electrodes include one or more positive electrodes arranged in a first pattern and one or more negative electrodes arranged in a second pattern; an aluminum base plate coupled to the ceramic plate; a positive conduit extending through the aluminum base plate and electrically coupled to the one or more positive electrodes, and a negative conduit extending through the aluminum base plate and electrically coupled to the one or more negative electrodes; and a first insulative tube disposed about each of the positive conduit and the negative conduit.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

19.

CARBON REPLENISHMENT OF SILICON-CONTAINING MATERIAL

      
Numéro d'application US2023075315
Numéro de publication 2024/076860
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Venkataraman, Shankar
  • Shen, Zeqing
  • Roy, Susmit Singha
  • Mallick, Abhijit Basu
  • Kalutarage, Lakmal C.
  • Seo, Jongbeom
  • Yeong, Sai Hooi
  • Colombeau, Benjamin
  • Pranatharthiharan, Balasubramanian

Abrégé

Exemplary methods of semiconductor processing may include etching a portion of a silicon-containing material from a substrate disposed within a processing region of a semiconductor processing chamber. The silicon-containing material may extend into one or more recesses defined by alternating layers of material deposited on the substrate. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting a remaining silicon-containing material with the carbon-containing precursor. The contacting with the carbon-containing precursor may replenish carbon in the silicon-containing material. The methods may include providing a cleaning agent to the processing region of the semiconductor processing chamber. The methods may include contacting the substrate with the cleaning agent. The contacting with the cleaning precursor may remove surface oxide from the substrate.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/26 - Bombardement par des radiations ondulatoires ou corpusculaires
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

20.

MASK FOR A SUBSTRATE, SUBSTRATE SUPPORT, SUBSTRATE PROCESSING APPARATUS, METHOD FOR LAYER DEPOSITION ON A SUBSTRATE AND METHOD OF MANUFACTURING ONE OR MORE DEVICES

      
Numéro d'application EP2022077692
Numéro de publication 2024/074202
Statut Délivré - en vigueur
Date de dépôt 2022-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Jagadish, Avinash
  • Lau, Simon
  • Klein, Wolfgang
  • Hanika, Markus

Abrégé

A mask (100) for masking a rear of an edge of a substrate (10) is described. The mask comprises a frame (110) having an opening (111) for receiving the substrate, wherein the frame has a protrusion (112) provided at an inner side (110A) of the frame, the protrusion (112) extending towards the rear (10R) of the edge (10E) of the substrate (10).

Classes IPC  ?

  • C23C 14/56 - Appareillage spécialement adapté au revêtement en continu; Dispositifs pour maintenir le vide, p.ex. fermeture étanche
  • C23C 14/50 - Porte-substrat
  • C23C 14/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches
  • H01L 21/443 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

21.

CASSETTE STRUCTURES AND RELATED METHODS FOR BATCH PROCESSING IN EPITAXIAL DEPOSITION OPERATIONS

      
Numéro d'application US2023017561
Numéro de publication 2024/076389
Statut Délivré - en vigueur
Date de dépôt 2023-04-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Shah, Kartik Bhupendra
  • Moradian, Ala

Abrégé

The present disclosure relates to cassette structures and related methods for batch processing in epitaxial deposition operations, In one implementation, a cassette configured for disposition in a substrate processing chamber includes a first wall, a second wall spaced from the first wall, and one or more sidewalls extending between and coupled to the first wall and the second wall. The cassette includes one or more inlet openings formed in the one or more sidewalls, and one or more outlet openings formed in the one or more sidewalls opposite the one or more inlet openings. The cassette includes a plurality of levels that include a plurality of substrate supports mounted to the one or more sidewalls and spaced from each other along the one or more sidewalls.

Classes IPC  ?

  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés

22.

MEMBRANE FAILURE DETECTION SYSTEM

      
Numéro d'application US2023030872
Numéro de publication 2024/076421
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhang, Chang
  • Chen, Jian J.
  • Truong, Quoc
  • Leighton, Jamie Stuart

Abrégé

A polishing system includes a pressure system, a substrate carrier including a membrane, a first sensor, and a control system. A first compartment of the membrane is fluidly coupled to the pressure system. The first sensor is configured to monitor the pressure system and produce a first output based on conditions detected in the pressure system. The control system coupled to the first sensor and configured to process the first output to produce a first processed output, and the control system configured to compare the first processed output to a threshold to detect a presence of a fluid in the pressure system.

Classes IPC  ?

  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage
  • B24B 49/10 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs électriques
  • B24B 49/12 - Appareillage de mesure ou de calibrage pour la commande du mouvement d'avance de l'outil de meulage ou de la pièce à meuler; Agencements de l'appareillage d'indication ou de mesure, p.ex. pour indiquer le début de l'opération de meulage impliquant des dispositifs optiques
  • B24B 41/06 - Supports de pièces, p.ex. lunettes réglables

23.

METHODS FOR FABRICATION OF OPTICAL STRUCTURES ON PHOTONIC GLASS LAYER SUBSTRATES

      
Numéro d'application US2023033113
Numéro de publication 2024/076463
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abrégé

Embodiments described herein also relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto- electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co-packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

Classes IPC  ?

  • G02B 6/13 - Circuits optiques intégrés caractérisés par le procédé de fabrication
  • G02B 6/122 - Elements optiques de base, p.ex. voies de guidage de la lumière
  • G02B 6/12 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré

24.

A PHOTONIC GLASS LAYER SUBSTRATE WITH EMBEDDED OPTICAL STRUCTURES FOR COMMUNICATING WITH AN ELECTRO OPTICAL INTEGRATED CIRCUIT

      
Numéro d'application US2023033205
Numéro de publication 2024/076466
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Meissner, Paul
  • Pancholi, Anup
  • Huemoeller, Ronald

Abrégé

Embodiments described herein relate to electronic and photonic integrated circuits and methods for fabricating integrated interconnect between electrical, opto-electrical and photonic devices. One or more optical silicon photonic devices described herein may be used in connection with one or more opto-electrical integrated circuits (opto-electrical chip) on a single package substrate to from a co- packaged optical and electrical device. The methods described herein enable high volume manufacturing of electrical, opto-elctrical and the optical silicon photonic devices having a plurality of optical structures, such as waveguides, formed on or integral with a photonic glass layer substrate.

Classes IPC  ?

  • G02B 6/122 - Elements optiques de base, p.ex. voies de guidage de la lumière
  • G02B 6/42 - Couplage de guides de lumière avec des éléments opto-électroniques
  • G02B 6/12 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES - Détails de structure de dispositions comprenant des guides de lumière et d'autres éléments optiques, p.ex. des moyens de couplage du type guide d'ondes optiques du genre à circuit intégré

25.

LAMP AND WINDOW CONFIGURATIONS FOR SUBSTRATE PROCESSING CHAMBERS

      
Numéro d'application US2023034008
Numéro de publication 2024/076493
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Subbaraman, Venkateswaran
  • Dhamodharan, Raja Murali

Abrégé

The present disclosure relates to heat sources (e.g., lamps) and windows for processing chambers, and related methods. In one or more embodiments, a lamp applicable for use in semiconductor manufacturing includes a bulb tube extending along at least a segment of an arcuate profile. The bulb tube defines an arcuate central opening, The lamp includes a filament positioned in the arcuate central opening, The filament extends along at least the segment of the arcuate profile. The lamp includes a reflective coating formed on a first portion of an outer face of the bulb tube.

Classes IPC  ?

  • H05B 3/00 - Chauffage par résistance ohmique
  • C23C 16/48 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement par irradiation, p.ex. par photolyse, radiolyse ou rayonnement corpusculaire
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

26.

WEB COATING METHOD AND VENTED COOLING DRUM WITH INTEGRAL ELECTROSTATIC CLAMPING

      
Numéro d'application US2023034353
Numéro de publication 2024/076562
Statut Délivré - en vigueur
Date de dépôt 2023-10-03
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Parkhe, Vijay D.
  • Sivaramakrishnan, Visweswaren
  • Ishikawa, David Masayuki
  • Deppisch, Thomas

Abrégé

A rotatable drum is provided for supporting a substrate. The rotatable drum includes a curved drum surface for supporting the substrate. The curved drum surface includes a dielectric portion and an electrode coupled to a power source. The electrode is electrically coupled to the curved drum surface and capable of chucking and dechucking the substrate from the curved drum surface at one or more circumferential segments of the curved drum surface.

Classes IPC  ?

  • H01M 4/04 - Procédés de fabrication en général
  • H01M 4/134 - PROCÉDÉS OU MOYENS POUR LA CONVERSION DIRECTE DE L'ÉNERGIE CHIMIQUE EN ÉNERGIE ÉLECTRIQUE, p.ex. BATTERIES Électrodes Électrodes composées d'un ou comprenant un matériau actif Électrodes pour accumulateurs à électrolyte non aqueux, p.ex. pour accumulateurs au lithium; Leurs procédés de fabrication Électrodes à base de métaux, de Si ou d'alliages

27.

METHODS FOR CLEAN RATE IMPROVEMENT IN MULTI-RPSC PECVD SYSTEMS

      
Numéro d'application US2023034527
Numéro de publication 2024/076665
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pan, Yan Chi
  • Chen, Jrjyan Jerry
  • Yang, Lynn
  • Chang, Max
  • Furuta, Gaku
  • Chen, Vanness
  • Lau, Allen K.

Abrégé

Embodiments of the present disclosure generally relate to a method of cleaning a chemical vapor deposition chamber. The method includes commencing flow of a cleaning gas to a center remote plasma source (RPS) reactor in a processing chamber. The method includes commencing flow of the cleaning gas to four corner RPS reactors in the processing chamber. The method also includes flowing cleaning gas to the center RPS reactor and the four corner RPS reactors. The method further includes stopping flow of the cleaning gas to the center RPS reactor and stopping flow of the cleaning gas to the four corner RPS reactors.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

28.

ATOMIC LAYER DEPOSITION COATING SYSTEM FOR INNER WALLS OF GAS LINES

      
Numéro d'application US2023034587
Numéro de publication 2024/076702
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-11
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Panavalappil Kumarankutty, Hanish Kumar
  • Tomar, Yogesh
  • Patil, Nikshep M.
  • Rajendran, Hari Venkatesh
  • Shanmugam, Kirubanandan Naina
  • Natu, Gayatri
  • Arcot, Mahesh
  • Nattamai Subramanian, Senthil Kumar
  • Marcus, Steven D.
  • Rice, Michael R.

Abrégé

Embodiments of an apparatus for coating a plurality of gas lines are provided herein. In some embodiments, an apparatus for coating a plurality of gas lines via an ALD process includes: an oven having an enclosure that defines an interior volume configured to house the plurality of gas lines, the enclosure having a door configured for transferring the plurality of gas lines into and out of the interior volume; a plurality of inlet ports disposed through a first wall of the enclosure; a plurality of exhaust ports disposed through a second wall of the enclosure; a fluid panel disposed outside of the oven and coupled to the plurality of inlet ports via corresponding ones of a plurality of fluid distribution assemblies; and a foreline disposed outside of the oven and coupled to the plurality of exhaust ports.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

29.

DOG BONE EXHAUST SLIT TUNNEL FOR PROCESSING CHAMBERS

      
Numéro d'application US2023017157
Numéro de publication 2024/072485
Statut Délivré - en vigueur
Date de dépôt 2023-03-31
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pandey, Vishwas Kumar
  • Moradian, Ala
  • Cong, Zhepeng

Abrégé

A processing chamber is disclosed and includes a chamber body. The chamber body has a first side, a second side opposite the first side, a window assembly, and a base. The first and second side, the window assembly and the base define a thermal processing region. A flow assembly is disposed adjacent the first side and configured to introduce a processing gas into the thermal processing region. An exhaust slit assembly is disposed adjacent the second side. The exhaust slit assembly has an opening exposed to the thermal processing region. The opening having a center and an outer edge of the opening. The center of the opening and edge of the opening vertically defined between the window assembly and the base. Wherein an outer height at the edge of the opening is at least 30% larger in a vertical direction than a center height at the center of the opening.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

30.

WIRELESS DATA COMMUNICATION IN PLASMA PROCESS CHAMBER THROUGH VI SENSOR AND RF GENERATOR

      
Numéro d'application US2023031566
Numéro de publication 2024/072601
Statut Délivré - en vigueur
Date de dépôt 2023-08-30
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Lin, Chuang-Chia

Abrégé

Embodiments disclosed herein include a diagnostic substrate. In an embodiment, the diagnostic substrate comprises a substrate and a sensor on the substrate. In an embodiment, the diagnostic substrate further comprises a communication module on the substrate that is communicatively coupled to the sensor. In an embodiment, the communication module comprises an output antenna, a switch coupled to the output antenna, and a signal source coupled to the switch.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

31.

PROTECTIVE GAS FLOW DURING WAFER DECHUCKING IN PVD CHAMBER

      
Numéro d'application US2023031959
Numéro de publication 2024/072606
Statut Délivré - en vigueur
Date de dépôt 2023-09-05
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhang, Fuhong
  • Li, Yu-Ru

Abrégé

Methods, system, and apparatus for substrate processing are provided for flowing a gas into a substrate processing chamber housing a substrate clamped to a chuck, wherein the gas is introduced at a location above the substrate; and while the gas is introduced, dechucking the substrate.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

32.

CORRECTION OF GLOBAL CURVATURE DURING STRESS MANAGEMENT

      
Numéro d'application US2023032044
Numéro de publication 2024/072609
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Subrahmanyan, Pradeep K.

Abrégé

Embodiments of the disclosure relate to techniques and apparatus for reducing out-of-plane distortion (OPD) in a substrate, as well as control of the effects of OPD and the effects that the modifications made to the substrate to correct for the OPD have on subsequent substrate processing operations performed on the substrate. The present embodiments employ novel techniques to reduce the OPD in a substrate without adding or modifying portions of the substrate that will create issues in subsequent substrate fabrication processes.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3115 - Dopage des couches isolantes
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

33.

FLUID CONDUIT AND FLANGE FOR HIGH BIAS APPLICATIONS

      
Numéro d'application US2023033467
Numéro de publication 2024/072701
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ravi, Sankaranarayanan
  • Garcia, Alvaro
  • Perez Guzman, Martin
  • Prouty, Stephen Donald
  • Schmid, Andreas

Abrégé

A method and apparatus for cooling a semiconductor chamber are described herein. A semiconductor chamber component, includes a powered region, a grounded region, and a fluid conduit disposed within the semiconductor chamber component and passing through the powered region and grounded region, the fluid conduit comprising a ceramic material.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

34.

SURFACE ROUGHNESS AND EMISSIVITY DETERMINATION

      
Numéro d'application US2023033631
Numéro de publication 2024/072755
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ng, Eric Chin Hong
  • Egan, Todd J.
  • Vaez-Iravani, Mehdi

Abrégé

A system includes a radiation source configured to emit a radiation beam. The system further includes a first optical sensor configured to detect a first intensity of a first portion of the radiation beam reflected from a surface of an object. The system further includes a second optical sensor configured to detect a second intensity of a second portion of the radiation beam scattered by the surface of the object. The system further includes a processing device communicatively coupled to the first optical sensor and the second optical sensor. The processing device is configured to determine at least one of a roughness or an emissivity of the surface of the object based on a comparison of the first intensity and the second intensity.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

35.

LARGE DIAMETER POROUS PLUG FOR ARGON DELIVERY AND TWO STAGE SOFT CHUCKING METHOD

      
Numéro d'application US2023033677
Numéro de publication 2024/072777
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gnanaprakasa, Tony Jefferson
  • Garcia, Alvaro
  • Perez Guzman, Martin
  • Prouty, Stephen Donald
  • Bammanahalli, Gautham
  • Inoue, Tatsuichiro
  • Moore, Nathaniel

Abrégé

The disclosure relates to a substrate support assembly for reducing the evacuation time when using argon gas. In one embodiment, a substrate support assembly includes a porous plug within the substrate support assembly. The porous plug includes a first cylindrical section with a first volume and axial length, a second cylindrical section with a second volume and axial length. The first cylindrical section has a larger volume than the second cylindrical section. The first cylindrical section and second cylindrical section have a volume ratio between about 2 and about 12. The first cylindrical section axial length and second cylindrical section axial length have a length ratio between about 2 and about 10.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

36.

PARTICLE ACCELERATOR HAVING NOVEL ELECTRODE CONFIGURATION FOR QUADRUPOLE FOCUSING

      
Numéro d'application US2023033996
Numéro de publication 2024/072975
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tam, Wai-Ming
  • Sinclair, Frank

Abrégé

An apparatus may include a drift tube assembly, comprising a plurality of drift tubes to conduct an ion beam along a beam propagation direction. The plurality of drift tubes may define a multi-gap configuration corresponding to a plurality of acceleration gaps, wherein the plurality of drift tubes further define a plurality of RF quadrupoles, respectively. As such, the plurality of quadrupoles are arranged to defocus the ion beam along a first direction at the plurality of acceleration gaps, respectively, where the first direction extends perpendicularly to the beam propagation direction.

Classes IPC  ?

  • H05H 7/22 - TECHNIQUE DU PLASMA; PRODUCTION DE PARTICULES ÉLECTRIQUEMENT CHARGÉES ACCÉLÉRÉES OU DE NEUTRONS; PRODUCTION OU ACCÉLÉRATION DE FAISCEAUX MOLÉCULAIRES OU ATOMIQUES NEUTRES - Détails des dispositifs des types couverts par les groupes - Détails d'accélérateurs linéaires, p.ex. tubes de glissement
  • H05H 9/00 - Accélérateurs linéaires

37.

MOLECULAR LAYER DEPOSITION CARBON MASKS FOR DIRECT SELECTIVE DEPOSITION OF SILICON-CONTAINING MATERIALS

      
Numéro d'application US2023074555
Numéro de publication 2024/073272
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Shen, Zeqing
  • Roy, Susmit Singha
  • Mallick, Abhijit Basu
  • Wang, Xinke
  • Ji, Xiang
  • Jha, Praket Prakash

Abrégé

Embodiments of the present technology relate to semiconductor processing methods that include providing a structured semiconductor substrate including a trench having a bottom surface and top surfaces. The methods further include depositing a portion of a silicon-containing material on the bottom surface of the trench for at least one deposition cycle, where each deposition cycle includes: depositing the portion of the silicon-containing material on the bottom surface and top surfaces of the trench, depositing a carbon-containing mask layer on the silicon-containing material on the bottom surface of the trench, where the carbon-containing mask layer is not formed on the top surfaces of the trench, removing the portion of the silicon-containing material from the top surfaces of the trench, and removing the carbon-containing mask layer from the silicon-containing material on the bottom surface of the trench, where the as-deposited silicon-containing material remains on the bottom surface of the trench.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

38.

BROADBAND SUPPLY CIRCUITRY FOR A PLASMA PROCESSING SYSTEM

      
Numéro d'application US2023025135
Numéro de publication 2024/072496
Statut Délivré - en vigueur
Date de dépôt 2023-06-13
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Shi, Tiefeng
  • Fu, Gang
  • Miller, Keith A.

Abrégé

Embodiments provided herein generally include apparatus, plasma processing systems and methods for dynamic impedance matching across multiple frequency bands of a power source. An example method includes amplifying a broadband signal, splitting the amplified broadband signal across a plurality of channel paths coupled to an impedance matching network, and adjusting at least one first impedance associated with the impedance matching network to achieve a second impedance within a threshold value based at least in part on feedback associated with the broadband signal. The impedance matching network includes a plurality of impedance matching circuits coupled to plasma excitation circuitry, and each of the impedance matching circuits is coupled to a different path of the plurality of channel paths and an output node.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/38 - Réseaux d'adaptation d'impédance

39.

HELICAL VOLTAGE STANDOFF

      
Numéro d'application US2023030707
Numéro de publication 2024/072565
Statut Délivré - en vigueur
Date de dépôt 2023-08-21
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gronski, Diana, C.
  • Chen, Alicia
  • Chaney, Craig, R.
  • Mclaughlin, Adam, M.

Abrégé

An insulator that has a helical protrusion spiraling around the shaft is disclosed. A lip is disposed on the distal end of the helical protrusion, creating regions on the shaft that are shielded from material deposition by the lip. By proper sizing of the threads, the helical protrusion and the lip, the line-of-sight to the interior wall of the shaft can be greatly reduced. This results in longer times before failure. This insulator may be used in an ion implantation system to physically and electrically separate two components.

Classes IPC  ?

  • H01J 37/08 - Sources d'ions; Canons à ions
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01B 17/56 - Corps isolants

40.

FREQUENCY AND AMPLITUDE MODULATION OF IMPLANT DOSE FOR STRESS MANAGEMENT

      
Numéro d'application US2023030896
Numéro de publication 2024/072570
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Subrahmanyan, Pradeep K.

Abrégé

Embodiments of the disclosure relate to techniques and apparatus for reducing out-of-plane distortion (OPD) in a substrate, as well as control of the effects of OPD and the effects that the modifications made to the substrate to correct for the OPD have on subsequent substrate processing operations performed on the substrate. The present embodiments employ novel techniques to reduce the OPD in a substrate without adding or modifying portions of the substrate that will create issues in subsequent substrate fabrication processes.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions

41.

METHOD AND APPARATUS FOR PROCESSING A SUBSTRATE IN CLEANING MODULES

      
Numéro d'application US2023032244
Numéro de publication 2024/072615
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Rangarajan, Jagan
  • Golubovsky, Edward
  • Velazquez, Edwin
  • Blank, Adrian S.
  • Zuniga, Steven M.
  • Jagannathan, Balasubramaniam C.

Abrégé

Embodiments described herein generally relate to equipment used in the manufacturing of electronic devices, and more particularly, to a cleaning system, cleaning system hardware and related methods which may be used to transport and clean the surface of a substrate. According to one embodiment, a blade handling assembly for handling a substrate in a cleaning system includes a gripping assembly including a pair of gripping blades, the blades operable with a gripping actuator to hold a substrate at its edges. The assembly includes a first blade actuator for moving the gripping assembly and substrate between a horizontal and a vertical orientation utilizing a first axis. The assembly includes a second blade actuator for moving the vertically oriented gripping assembly and substrate 180 degrees utilizing a second axis, thereby causing the substrate to face an opposite direction. Movement utilizing the first axis results in rotation of the first and second blade actuators and movement utilizing the second axis results in rotation of only the second blade actuator.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe
  • H01L 21/673 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants utilisant des supports spécialement adaptés
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

42.

IMPROVED VACUUM SEALING INTEGRITY OF CRYOGENIC ELECTROSTATIC CHUCKS USING NON-CONTACT SURFACE TEMPERATURE MEASURING PROBES

      
Numéro d'application US2023032862
Numéro de publication 2024/072646
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ravi, Sankaranarayanan
  • Garcia, Alvaro
  • Perez Guzman, Martin
  • Prouty, Stephen Donald
  • Noujaim, Andrew Antoine
  • Gupta, Suresh
  • Gnanaprakasa, Tony Jefferson
  • Bammanahalli, Gautham
  • Inoue, Tatsuichiro

Abrégé

The disclosure relates to a substrate support assembly and apparatus for measuring the temperature of a substrate disposed on the support assembly. In one embodiment, a substrate temperature measurement apparatus includes a substrate support assembly, a probe assembly, and a probe target. The substrate support assembly includes an electrostatic chuck and one or more plates. The probe assembly within the substrate support assembly extends through one or more of the one or more plates. The probe assembly includes an optical probe sensor, an optical fiber coupled to the optical probe sensor, and an insulating sheath surrounding the optical fiber. The probe target includes a phosphor coating, is in contact with the electrostatic chuck, and is spaced from the probe assembly.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • G01K 1/14 - Supports; Dispositifs de fixation; Dispositions pour le montage de thermomètres en des endroits particuliers
  • G01K 1/08 - Dispositifs de protection, p.ex. étuis
  • G01K 11/32 - Mesure de la température basée sur les variations physiques ou chimiques, n'entrant pas dans les groupes , , ou utilisant des changements dans la transmittance, la diffusion ou la luminescence dans les fibres optiques

43.

METHODS FOR FORMING LOW RESISTIVITY CONTACTS

      
Numéro d'application US2023033273
Numéro de publication 2024/072680
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Lu, Jiang
  • Wu, Liqi
  • Dou, Wei
  • Ye, Weifeng
  • Chen, Shih Chung
  • Wang, Rongjun
  • Tang, Xianmin
  • Wan, Yiyang
  • Zhang, Shumao
  • Guo, Jianqiu

Abrégé

Methods for reducing contact resistance include performing a selective titanium silicide (TiSi) deposition process on a middle of the line (MOL) contact structure that includes a cavity in a substrate of dielectric material. The contact structure also includes a silicon-based connection portion at a bottom of the cavity. The selective TiSi deposition process is selective to silicon-based material over dielectric material. The methods also include performing a selective deposition process of a metal material on the MOL contact structure. The selective deposition process is selective to TiSi material over dielectric material and forms a silicide capping layer on the silicon-based connection portion. The methods further include performing a seed layer deposition process of the metal material on the contact structure.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

44.

SELECTIVE OXIDATION OF A SUBSTRATE

      
Numéro d'application US2023033738
Numéro de publication 2024/072816
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Lo, Hansel
  • Olsen, Chris

Abrégé

Described herein is a method for selectively oxidizing a substrate. The method includes forming a non-conformal layer on at least one side surface of a trench or a hole of a substrate. After forming the non-conformal layer, the at least one trench or at least one hole may be selectively oxidized, wherein oxidation of the non-conformal layer and an exposed portion of the at least one side wall not covered by the non-conformal layer occurs to form an oxide layer. The oxide layer is thicker at a lower portion of the at least one side wall than the upper portion of the at least one side wall, such that it tapers.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/263 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

45.

SELECTIVE DEPOSITION OF THIN FILMS WITH IMPROVED STABILITY

      
Numéro d'application US2023033923
Numéro de publication 2024/072923
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Xiong, Lulu
  • Hsiao, Kevin
  • Liu, Chris
  • Lo, Chieh-Wen
  • Seutter, Sean, M.
  • Padhi, Deenesh
  • Lianto, Prayudi
  • Suo, Peng
  • See, Guan Huei
  • Wang, Zongbin
  • Zeng, Shengwei
  • Ramasamy, Balamurugan

Abrégé

A method of processing a substrate is disclosed which includes depositing a layer in a processing chamber on a field region, a sidewall region, and a fill region of a feature of the substrate, wherein a hardness of a portion of the layer deposited on the sidewall region is lower than a hardness of a portion of the layer deposited on the field region, and lower than a hardness of a portion of the layer deposited in the fill region.

Classes IPC  ?

  • H01L 21/762 - Régions diélectriques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3105 - Post-traitement
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/509 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes internes
  • C23C 16/56 - Post-traitement

46.

DIFFUSE OPTICAL IMAGING/TOMOGRAPHY USING META-OPTICS

      
Numéro d'application US2023034040
Numéro de publication 2024/073000
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Sell, David Alexander
  • Gallagher, Paul
  • Talbot, Christopher G.
  • Wright, Christopher John
  • Cronin, Harry Michael

Abrégé

Method and apparatuses for diffuse optical tomography (DOT) are disclosed herein. A DOT device includes a substrate, one or more radiation sources, a plurality of detectors, and structures disposed over the second surface of the plurality of detectors. The one or more radiation sources are disposed over or under a surface of the substrate. Each detector of the plurality of detectors has a first surface and a second surface. The first surface is opposite the second surface. The first surface of the plurality of detectors disposed over or under the surface of the substrate. The method of DOT method of includes emitting and scattering radiation from one or more sources of a DOT device; detecting scattered radiation with a plurality of detectors of the DOT device; and translating the scattered radiation that is detected into data.

Classes IPC  ?

  • A61B 5/00 - Mesure servant à établir un diagnostic ; Identification des individus
  • A61B 5/1455 - Mesure des caractéristiques du sang in vivo, p.ex. de la concentration des gaz dans le sang, de la valeur du pH du sang en utilisant des capteurs optiques, p.ex. des oxymètres à photométrie spectrale
  • A61B 5/024 - Mesure du pouls ou des pulsations cardiaques

47.

ALKALI METAL OXIDE AND HYDROXIDE REDUCTION IN THE FILM BY EX¬ SITU SURFACE PASSIVATED LAYER

      
Numéro d'application US2023034042
Numéro de publication 2024/073001
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Humphreys, Thomas
  • Gopalakrishnan Nair, Girish Kumar
  • Herle, Subramanya P.

Abrégé

Embodiments of the present disclosure include an anode for a battery including a substrate, a metal film disposed on the substrate, and a film stack disposed on the metal film. The film stack includes a lithium carbonate film and a lithium halide film disposed on the lithium carbonate. The lithium carbonate film is disposed on the metal film.

Classes IPC  ?

  • H01M 4/134 - PROCÉDÉS OU MOYENS POUR LA CONVERSION DIRECTE DE L'ÉNERGIE CHIMIQUE EN ÉNERGIE ÉLECTRIQUE, p.ex. BATTERIES Électrodes Électrodes composées d'un ou comprenant un matériau actif Électrodes pour accumulateurs à électrolyte non aqueux, p.ex. pour accumulateurs au lithium; Leurs procédés de fabrication Électrodes à base de métaux, de Si ou d'alliages
  • H01M 4/1395 - Procédés de fabrication d’électrodes à base de métaux, de Si ou d'alliages
  • H01M 4/38 - Emploi de substances spécifiées comme matériaux actifs, masses actives, liquides actifs d'éléments simples ou d'alliages
  • H01M 4/62 - Emploi de substances spécifiées inactives comme ingrédients pour les masses actives, p.ex. liants, charges
  • H01M 10/052 - Accumulateurs au lithium
  • H01M 10/0585 - Structure ou fabrication d'accumulateurs ayant uniquement des éléments de structure plats, c. à d. des électrodes positives plates, des électrodes négatives plates et des séparateurs plats
  • H01M 10/42 - Procédés ou dispositions pour assurer le fonctionnement ou l'entretien des éléments secondaires ou des demi-éléments secondaires
  • H01M 4/02 - PROCÉDÉS OU MOYENS POUR LA CONVERSION DIRECTE DE L'ÉNERGIE CHIMIQUE EN ÉNERGIE ÉLECTRIQUE, p.ex. BATTERIES Électrodes Électrodes composées d'un ou comprenant un matériau actif

48.

METHOD OF ELECTROSTATIC CHUCK MOTION CONTROL FOR WAFER BREAKAGE PREVENTION

      
Numéro d'application US2023029704
Numéro de publication 2024/063873
Statut Délivré - en vigueur
Date de dépôt 2023-08-08
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Li, Junguo
  • Tsumita, Yosuke
  • Ma, Andy Der Kae
  • Choi, Andrew Eunbeom

Abrégé

Apparatus and methods for lifting a substrate from a substrate support include i. moving the substrate support and the substrate from a first position in a first direction toward lift pins, the substrate support having through holes each of which configured to receive a corresponding lift pin; ii. while moving the substrate support and the substrate, contacting the substrate with the lift pins; iii. upon contacting the lift pins, determining a force exerted on the lift pins; iv. comparing the determined force on the lift pins to a predetermined threshold force; and v. determining that the force on the lift pins is greater than or equal to the threshold force, and moving the substrate support away from the lift pins in a second direction opposite the first direction.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

49.

SINGLE GATE THREE-DIMENSIONAL (3D) DYNAMIC RANDOM- ACCESS MEMORY (DRAM) DEVICES

      
Numéro d'application US2023030842
Numéro de publication 2024/063895
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Kang, Chang Seok
  • Kang, Sung-Kwan

Abrégé

A memory cell array includes a plurality of memory levels stacked in a first direction, each of the plurality of memory levels including an active region, a cell transistor having a single gate above the active region in the first direction, and a cell capacitor having a bottom electrode layer that is electrically connected to the active region.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]
  • H10N 97/00 - Dispositifs électriques à l’état solide à film mince ou à film épais, non prévus ailleurs

50.

EDGE DEFECT DETECTION VIA IMAGE ANALYTICS

      
Numéro d'application US2023033182
Numéro de publication 2024/064166
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Chhabra, Yash
  • Dhar, Abyaya
  • Liu, Joseph
  • Wu, Yi Nung
  • Chan, Boon Sen
  • Kurakula, Sidda Reddy
  • Roy, Chandrasekhar

Abrégé

The present disclosure includes edge defect detection via image analytics. A method includes identifying an image of an edge of a susceptor pocket formed by a susceptor of a substrate processing system. The method further includes predicting, based on the image, whether property values of the edge of the susceptor meet threshold values. The method further includes, responsive to the property values of the edge meeting threshold values, causing performance of a corrective action associated with the susceptor.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G06T 7/13 - Détection de bords
  • G06T 7/136 - Découpage; Détection de bords impliquant un seuillage
  • G06T 3/40 - Changement d'échelle d'une image entière ou d'une partie d'image
  • G06V 10/14 - Caractéristiques optiques de l’appareil qui effectue l’acquisition ou des dispositifs d’éclairage
  • G06N 20/00 - Apprentissage automatique

51.

METHOD FOR INTEGRATION OF OPTICAL DEVICE FABRICATION WITH SUBSTRATE THICKNESS ENGINEERING

      
Numéro d'application US2023033232
Numéro de publication 2024/064196
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Ceballos, Andrew

Abrégé

Embodiments of the present disclosure generally relate to the introduction of an encapsulation material into an optical device formed with a same thickness distribution at one or more eyepiece areas across a substrate. The target thickness distribution formed in at least each eyepiece area reduces variation of substrate thickness from substrate to substrate. Forming the target thickness distribution in the substrate and the index-matched layer with maskless patterning such as graytone lithography and inkjet printing eliminates subsequent processing steps to achieve the target thickness distribution. An encapsulation material between the optical device layer and the index-matched layer will protect the index-matched layer from damage.

Classes IPC  ?

  • G02B 27/01 - Dispositifs d'affichage "tête haute"
  • G02B 25/00 - Oculaires; Loupes
  • G02B 1/00 - OPTIQUE ÉLÉMENTS, SYSTÈMES OU APPAREILS OPTIQUES Éléments optiques caractérisés par la substance dont ils sont faits; Revêtements optiques pour éléments optiques

52.

DRIFT TUBE ELECTRODE ARRANGEMENT HAVING DIRECT CURRENT OPTICS

      
Numéro d'application US2023033256
Numéro de publication 2024/064211
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Tam, Wai-Ming
  • Becker, Klaus
  • Park, William Herron
  • Sinclair, Frank

Abrégé

An apparatus may include a drift tube assembly having a plurality of drift tubes to conduct an ion beam along a beam propagation direction. The plurality of drift tubes may define a multi-gap configuration corresponding to a plurality of acceleration gaps, wherein at least one powered drift tube of the drift tube assembly is coupled to receive an RF voltage signal. The apparatus may also include a DC electrode assembly that includes a conductor line, arranged within a resonator coil that is coupled to receive a DC voltage signal into the at least one powered drift tube. The DC electrode assembly may also include a DC electrode arrangement, connected to the conductor line and disposed within the at least one powered drift tube.

Classes IPC  ?

  • H05H 7/22 - TECHNIQUE DU PLASMA; PRODUCTION DE PARTICULES ÉLECTRIQUEMENT CHARGÉES ACCÉLÉRÉES OU DE NEUTRONS; PRODUCTION OU ACCÉLÉRATION DE FAISCEAUX MOLÉCULAIRES OU ATOMIQUES NEUTRES - Détails des dispositifs des types couverts par les groupes - Détails d'accélérateurs linéaires, p.ex. tubes de glissement
  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions

53.

MIDDLE OF LINE DIELECTRIC LAYER ENGINEERING FOR VIA VOID PREVENTION

      
Numéro d'application US2023033384
Numéro de publication 2024/064285
Statut Délivré - en vigueur
Date de dépôt 2023-09-21
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Breil, Nicolas Louis
  • Mallick, Abhijit B.
  • Pranatharthiharan, Balasubramanian

Abrégé

Embodiments of the present disclosure provide techniques for fabricating a semiconductor device with fewer via voids (e.g., gaps between a dielectric layer and a metal fill of the semiconductor device). One such technique involves forming a dielectric layer over a surface of a substrate, forming one or more openings in the dielectric layer, filling the one or more openings with a metal wherein the metal is disposed on a surface of each of the one or more openings, and implanting an oxygen containing species into the dielectric layer to provide a dose of the oxygen containing species to the surface of each of the one or more openings and the metal disposed thereon.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

54.

MIDDLE OF LINE DIELECTRIC LAYER ENGINEERING FOR VIA VOID PREVENTION

      
Numéro d'application US2023033406
Numéro de publication 2024/064301
Statut Délivré - en vigueur
Date de dépôt 2023-09-21
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Breil, Nicolas, Louis
  • Mallick, Abhijit, B.
  • Pranatharthiharan, Balasubramanian

Abrégé

Embodiments of the present disclosure are provide a method for fabricating a semiconductor device with fewer via voids (e.g., gaps between a dielectric layer and a metal fill of the semiconductor device). One such technique involves forming a dielectric layer, wherein at least a portion of the dielectric layer comprises a nonstoichiometric compound; forming one or more openings in the dielectric layer; filling the one or more openings with a metal, wherein the metal is disposed on a surface of each of the one or more openings; and exposing the dielectric layer and metal disposed in the openings to an oxidizing atmosphere, wherein exposing the dielectric layer and metal in the openings causes oxidation of the nonstoichiometric compound.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

55.

IN-SITU CARBON LINER FOR HIGH ASPECT RATIO FEATURES

      
Numéro d'application US2023073917
Numéro de publication 2024/064550
Statut Délivré - en vigueur
Date de dépôt 2023-09-12
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhou, Hailong
  • Kwak, Iljo
  • Joubert, Olivier P.
  • Wen, Yu

Abrégé

Exemplary methods of semiconductor processing may include etching a first portion of a feature in a substrate disposed within a processing region of a semiconductor processing chamber. The first portion of the feature may at least partially extend through one or more layers of material formed on the substrate. The methods may include providing a carbon-containing precursor to the processing region of the semiconductor processing chamber. The methods may include generating plasma effluents of the carbon-containing precursor. The methods may include contacting the substrate with the plasma effluents of the carbon-containing precursor. The methods may include forming a carbon-containing material on the substrate. The carbon-containing material may line the first portion of the feature at least partially extending through the one or more layers of material formed on the substrate. The carbon-containing material may be formed in the same chamber where the feature is etched.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

56.

FLUID VAPOR MIXING AND DELIVERY SYSTEM

      
Numéro d'application US2023030338
Numéro de publication 2024/063879
Statut Délivré - en vigueur
Date de dépôt 2023-08-16
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Velazquez, Edwin

Abrégé

A method and apparatus for delivering I PA vapor to a substrate processing chamber. In one aspect, the invention includes a controller, a liquid mass flow controller (LMFC) associated with a vaporizer to convert a first fluid to a vapor, a mass flow controller (MFC) associated with the carrier gas, a mixing unit to mix the vapor with the carrier gas to create the predetermined mixture and a drain circuit including a first flow path having a first valve between the mixing unit and a drain, a second flow path having a second valve between the mixing unit and the processing chamber, whereby the first flow path can be opened until the predetermined mixture is reached and thereafter, the second flow path can be opened allowing the predetermined mixture to be delivered to the chamber.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

57.

INTEGRATION APPROACH FOR INCREASE OF THE MOBILITY AND ON-CURRENT IN 3D NAND CELLS

      
Numéro d'application US2023032068
Numéro de publication 2024/063949
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Pesic, Milan

Abrégé

Embodiments of the disclosure provided herein include an apparatus for and method of forming an improved three-dimension (3D) memory structure/cell that includes a channel that includes polysilicon channel that has been processed to passivate and remove defects found in the channel structure of a 3D memory device, such as a 3D NAND device. In some embodiments, the processing performed on the channel structure utilizes the deposition of a fluorine containing layer that includes a concentration of fluorine (F) atoms that are then driven into a polysilicon channel layer using at least one anneal step that is performed in a hydrogen or deuterium containing environment to load the polysilicon layer with fluorine (F) and hydrogen (H) atoms.

Classes IPC  ?

  • H10B 43/35 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par la région noyau de mémoire avec transistors de sélection de cellules, p.ex. NON-ET
  • H10B 43/27 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
  • H10B 41/35 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par la région noyau de mémoire avec un transistor de sélection de cellules, p.ex. NON-ET
  • H10B 41/27 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
  • H01L 21/225 - Diffusion des impuretés, p.ex. des matériaux de dopage, des matériaux pour électrodes, à l'intérieur ou hors du corps semi-conducteur, ou entre les régions semi-conductrices; Redistribution des impuretés, p.ex. sans introduction ou sans élimination de matériau dopant supplémentaire en utilisant la diffusion dans ou hors d'un solide, à partir d'une ou en phase solide, p.ex. une couche d'oxyde dopée

58.

ON TOOL METROLOGY SCHEME FOR ADVANCED PACKAGING

      
Numéro d'application US2023032382
Numéro de publication 2024/063968
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s) Mueller, Ulrich

Abrégé

Systems and methods disclosed herein relate to a digital lithography system and method for alignment resolution with the digital lithography system. The digital lithography system includes a metrology system configured to improve overlay alignment for different layers of the lithography process. The metrology system allows for decreased size of alignment marks. Based on determining the positions of alignment marks with the metrology system, correction data is obtained to achieve accurate overlay of layers on subsequent patterning processes.

Classes IPC  ?

  • G03F 9/00 - Mise en registre ou positionnement d'originaux, de masques, de trames, de feuilles photographiques, de surfaces texturées, p.ex. automatique
  • G03F 7/20 - Exposition; Appareillages à cet effet

59.

GLASSY CARBON SHUTTER DISK FOR PHYSICAL VAPOR DEPOSITION (PVD) CHAMBER

      
Numéro d'application US2023033009
Numéro de publication 2024/064063
Statut Délivré - en vigueur
Date de dépôt 2023-09-18
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Wang, Zhiyong
  • Chen, Zheyuan
  • Wysok, Irena H.
  • Reddy, Sundarapandian Ramalinga Vijayalakshmi
  • Nayak, Avinash
  • Lei, Jianxin

Abrégé

Methods and apparatus reduce defects in substrates processed in a physical vapor (PVD) chamber. In some embodiments, a method for cleaning a process kit disposed in an inner volume of a process chamber includes positioning a glassy carbon shutter disk on a substrate support of the PVD chamber; energizing an oxygen-containing cleaning gas disposed in the inner volume of the PVD chamber to create a plasma reactive with carbon-based materials; and heating the process kit having a carbon-based material adhered thereto while exposed to the plasma to remove at least a portion of the carbon-based material adhered to the process kit.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

60.

GROUNDING DEVICES FOR SUBSTRATE PROCESSING CHAMBERS

      
Numéro d'application US2023033296
Numéro de publication 2024/064236
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Hosokawa, Aki
  • Wang, Teng Mao

Abrégé

The ground strap for the process chamber includes a core layer and an outer layer, wherein the outer layer contains at least 99% aluminum. The ground strap configuration of the present invention is corrosion resistant through an aluminum coating, thereby preventing a decrease in ground function due to corrosion and improving the processing capacity of semiconductor deposition, etching, and the like of the chamber including the ground strap.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

61.

PLASMA-ENHANCED MOLYBDENUM DEPOSITION

      
Numéro d'application US2023033477
Numéro de publication 2024/064337
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ailihumaer, Tuerxun
  • Gandikota, Srinivas
  • Yang, Yixiong
  • Sharma, Yogesh
  • Agarwal, Ashutosh
  • Sriram, Mandyam

Abrégé

522422xy622).

Classes IPC  ?

  • C23C 16/08 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir d'halogénures métalliques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques

62.

COLOR CONVERSION LAYER FOR DISPLAY DEVICES AND METHOD OF FORMING DISPLAY DEVICES

      
Numéro d'application US2023033541
Numéro de publication 2024/064381
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ng, Hou T.
  • Patibandla, Nag B.
  • Li, Zhiyong
  • Zhu, Mingwei
  • Ganapathiappan, Sivapackia
  • Sun, Jianfeng
  • Liu, Peiwen

Abrégé

Color conversion arrays for multi-color displays are provided herein, The color conversion arrays include a plurality of features, each feature having a base and a distal end and a plurality of wells. Each well is defined within one or more of the plurality of features. A first color conversion layer is disposed within first wells of the plurality of wells to convert a first illumination to light of a first color. A second color conversion layer disposed within second wells of the plurality of wells to convert a second illumination to light of a second color. A first major surface or a second major surface of the array is configured to be coupled to a backplane.

Classes IPC  ?

  • H01L 33/50 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de conversion de la longueur d'onde
  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • H01L 25/075 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 33/62 - Dispositions pour conduire le courant électrique vers le corps semi-conducteur ou depuis celui-ci, p.ex. grille de connexion, fil de connexion ou billes de soudure
  • H01L 33/58 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de mise en forme du champ optique

63.

SUSCEPTOR TRANSFER FOR PROCESS CHAMBER

      
Numéro d'application US2023065648
Numéro de publication 2024/064423
Statut Délivré - en vigueur
Date de dépôt 2023-04-12
Date de publication 2024-03-28
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Gautam, Ribhu
  • Lau, Shu-Kwan
  • Ishii, Masato
  • Chen, Miao-Chun
  • Shen, Kuan Chien

Abrégé

A method of moving a susceptor in a processing system, suitable for use in semiconductor processing, is provided. The method includes: moving a first susceptor from an interior volume of a first enclosure to an interior volume of a process chamber during a first time period; and positioning, during a second time period, a first substrate on the first susceptor when the first susceptor is in the process chamber, wherein the interior volume of the first enclosure and interior volume of the process chamber are maintained at a non-atmospheric pressure from the beginning of the first time period until the end of the second time period.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

64.

ENHANCED DEPOSITION RATE BY APPLYING A NEGATIVE VOLTAGE TO A GAS INJECTION NOZZLE IN FIB SYSTEMS

      
Numéro d'application US2023072891
Numéro de publication 2024/064499
Statut Délivré - en vigueur
Date de dépôt 2023-08-25
Date de publication 2024-03-28
Propriétaire
  • APPLIED MATERIALS ISRAEL LTD. (Israël)
  • APPLIED MATERIALS, INC. (USA)
Inventeur(s) Zur, Yehuda

Abrégé

A method of depositing material over a localized region of a sample comprising: positioning a sample within a vacuum chamber such that the localized region is under a field of view of a charged particle beam column; injecting a deposition precursor gas, with a gas injection nozzle, into the vacuum chamber at a location adjacent to the deposition region; generating a charged particle beam with the charged particle beam column and focusing the charged particle beam within the deposition region of the sample; and scanning the charged particle beam across the deposition region of the sample to activate molecules of the deposition gas that have adhered to the sample surface in the deposition region and deposit material on the sample within the deposition region; and applying a negative bias voltage to the gas injection nozzle while the focused ion beam is scanned across the deposition region to alter a trajectory of the secondary electrons and repel the secondary electrons back to the sample surface.

Classes IPC  ?

  • G01N 23/2202 - Préparation d’échantillons à cet effet
  • G01N 23/225 - Recherche ou analyse des matériaux par l'utilisation de rayonnement [ondes ou particules], p.ex. rayons X ou neutrons, non couvertes par les groupes , ou en mesurant l'émission secondaire de matériaux en utilisant des microsondes électroniques ou ioniques
  • C23C 16/48 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement par irradiation, p.ex. par photolyse, radiolyse ou rayonnement corpusculaire

65.

UNIFORM EPITAXIAL GROWTH OVER CRYSTALLINE TEMPLATE

      
Numéro d'application US2023017429
Numéro de publication 2024/058824
Statut Délivré - en vigueur
Date de dépôt 2023-04-04
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Thomas, Shawn
  • Chopra, Saurabh
  • Tolle, John

Abrégé

A processing system includes one or more processing chambers, and a system controller configured to cause the processing system to perform (a) a pre-clean process on exposed surfaces of a semiconductor structure, the semiconductor structure comprising a first semiconductor region, a second semiconductor region separated from the first semiconductor region by a trench, and a dielectric layer over at least a portion of the first semiconductor region and the second semiconductor region, (b) a first deposition process to form an amorphous silicon-containing layer on the exposed surfaces of the semiconductor structure, (c) a recrystallization anneal process to recrystallize at least a portion of the amorphous silicon-containing layer to form a silicon- containing crystalline layer within the trench, (d) an etch process to remove remaining portions of the amorphous silicon-containing layer, and (e) a second deposition process, to epitaxially form a source/drain region over the silicon- containing crystalline layer within the trench.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/8234 - Technologie MIS
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/66 - Types de dispositifs semi-conducteurs

66.

MANAGING BEAM POWER EFFECTS BY VARYING BASE EMISSIVITY

      
Numéro d'application US2023030706
Numéro de publication 2024/058906
Statut Délivré - en vigueur
Date de dépôt 2023-08-21
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Sun, Dawei
  • Hermanson, Eric, D.
  • Heneveld, Benjamin, E.

Abrégé

A workpiece mounting system comprising a chuck and a base is disclosed. The emissivity of the base is increased to allow more heat transfer from the chuck to the base. In some embodiments, the emissivity of the base may be controllable so that for ion beams with lower power levels, the emissivity remains low, enabling the chuck to reach the desired temperature quickly. For ion beams with higher power levels, the emissivity may increase to allow more heat transfer to the base, allowing the chuck to maintain the desired temperature. High emissivity coatings may be applied to the top surface of the base. In other embodiments, a set of movable shields may be disposed between the chuck and the base. The position of the shields may be a function of the power level of the incoming ion beam.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

67.

METHODS FOR FORMING METAL GAPFILL WITH LOW RESISTIVITY

      
Numéro d'application US2023032375
Numéro de publication 2024/058990
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Xu, Yi
  • Lei, Yu
  • Qi, Zhimin
  • Zhang, Aixi
  • Zhao, Xianyuan
  • Lei, Wei
  • Gao, Xingyao
  • Pethe, Shirish A.
  • Huang, Tao
  • Chang, Xiang
  • Li, Patrick Po-Chun
  • Vasquez, Geraldine
  • Wu, Dien-Yeh
  • Wang, Rongjun

Abrégé

Methods for reducing resistivity of metal gapfill include depositing a conformal layer in an opening of a feature and on a field of a substrate with a first thickness of the conformal layer of approximately 10 microns or less, depositing a non-conformal metal layer directly on the conformal layer at a bottom of the opening and directly on the field using an anisotropic deposition process. A second thickness of the non- conformal metal layer on the field and on the bottom of the feature is approximately 30 microns or greater. And depositing a metal gapfill material in the opening of the feature and on the field where the metal gapfill material completely fills the opening without any voids.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/06 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique

68.

METHODS TO MEASURE LIGHT LOSS AND EFFICIENCY OF DIFFRACTION GRATINGS ON OPTICAL SUBSTRATES

      
Numéro d'application US2023032945
Numéro de publication 2024/059310
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhu, Yupeng
  • Fu, Jinxin
  • Shi, Michelle Meng
  • Sun, Yangyang
  • Komanduri, Ravi

Abrégé

Measurement systems and methods of optical device metrology as described herein. In an example, a method includes projecting a light beam of a first intensity to a lens coupler disposed on a first surface of an optical device substrate, the lens coupler in-coupling the light beam into the optical device substrate to undergo total internal refraction. The optical device substrate includes a grating having a plurality of grating lines such that light is out-coupled by the grating at a plurality of contact points. A plurality of intensities of the light beam out-coupled by the grating at the plurality of contact points are measured using a receiver and a total optical loss of the optical device substrate and the grating are determined by comparing the plurality of intensities at the contact points and the first intensity of the light beam.

Classes IPC  ?

69.

ATOMIC LAYER DEPOSITION PART COATING CHAMBER

      
Numéro d'application US2023033005
Numéro de publication 2024/059330
Statut Délivré - en vigueur
Date de dépôt 2023-09-18
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Dharmapura Sathyanarayanamurthy, Sriharsha
  • Panavalappil Kumarankutty, Hanish Kumar
  • Shanmugam, Kirubanandan Naina
  • Shanmugasundaram, Manojkumar
  • Srinivasan, Sriharish

Abrégé

Embodiments of part coating reactors are provided herein, In some embodiments, a part coating reactor includes a lid assembly, comprising: a body that includes a central region and a peripheral region, wherein the body includes a central opening in the central region, a first annular heater groove disposed radially outward of the central opening, and a second annular heater groove disposed radially outward of the first annular heater groove, wherein the peripheral region includes a plurality of vertical slots that extend from an upper surface of the body, and wherein a lower surface of the body includes an annular alignment groove; and a blocker plate including a substantially flat plate having a plurality of holes disposed therethrough and an annular wall extending above and below the flat plate, wherein an upper surface of the annular wall is disposed in the annular alignment groove of the body.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

70.

PIXEL ISOLATION STRUCTURES AND METHODS OF MAKING THEM

      
Numéro d'application US2023073129
Numéro de publication 2024/059434
Statut Délivré - en vigueur
Date de dépôt 2023-08-30
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Li, Zhiyong
  • Ganapathiappan, Sivapackia
  • Sivanandan, Kulandaivelu
  • Yu, Hao
  • Ng, Hou T.
  • Patibandla, Nag
  • Zhu, Mingwei
  • Xu, Lisong
  • Ding, Kai

Abrégé

Processing methods are described that include forming a group of LED structures on a substrate layer to form a patterned LED substrate. The methods also include depositing a light absorption material on the pattered LED substrate, where the light absorption material includes at least one photocurable compound and at least one ultraviolet light absorbing material. The methods further include exposing a portion of the light absorption material to patterned light, wherein the patterned light cures the exposed portion of the light absorption material into pixel isolation structures. The methods additionally include depositing an isotropic layer on a top portion and a side portion of the pixel isolation structures, where the LED structures are substantially free of the as-deposited isotropic light reflecting layer.

Classes IPC  ?

  • H01L 27/15 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des composants semi-conducteurs avec au moins une barrière de potentiel ou une barrière de surface, spécialement adaptés pour l'émission de lumière
  • H01L 33/50 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de conversion de la longueur d'onde
  • H01L 33/56 - Matériaux, p.ex. résine époxy ou silicone
  • H01L 33/46 - Revêtement réfléchissant, p.ex. réflecteur de Bragg en diélectriques

71.

LIGHT EMITTING DIODE WITH INCREASED LIGHT CONVERSION EFFICIENCY

      
Numéro d'application US2023073799
Numéro de publication 2024/059475
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Fan, Jiacheng
  • Li, Zhiyong

Abrégé

Embodiments of the present technology include pixel structures. The pixel structures include a light emitting diode structure to generate ultraviolet light. The pixel structures further include a photoluminescent region containing a photoluminescent material. The pixel structures additionally include a first bandpass filter positioned between the light emitting diode structure and the photoluminescent region, where the first bandpass filter is operable to transmit greater than 50% of light having a wavelength less than or about 400 nm. The pixel structures yet additionally include a second bandpass filter positioned on an opposite side of the photoluminescent region as the first bandpass filter, where the second bandpass filter is operable to transmit greater than 50% of light having a wavelength greater than 400 nm.

Classes IPC  ?

  • H01L 33/50 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de conversion de la longueur d'onde
  • H01L 33/58 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les éléments du boîtier des corps semi-conducteurs Éléments de mise en forme du champ optique
  • H01L 27/15 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des composants semi-conducteurs avec au moins une barrière de potentiel ou une barrière de surface, spécialement adaptés pour l'émission de lumière
  • H01L 25/075 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

72.

METHODS OF HIGHLY SELECTIVE SILICON OXIDE REMOVAL

      
Numéro d'application US2022045931
Numéro de publication 2024/058794
Statut Délivré - en vigueur
Date de dépôt 2022-10-06
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhu, Lala
  • Che, Shi
  • Yang, Dongquing
  • Ingle, Nitin K.

Abrégé

A semiconductor processing method may include providing a fluorine-containing precursor to a processing region of a semiconductor processing chamber. A substrate may be disposed within the processing region. The substrate may include an exposed region of silicon-and-oxygen-containing material. The substrate may include an exposed region of a liner material. The methods may include providing a hydrogen-containing precursor to the semiconductor processing region. The methods may include contacting the substrate with the fluorine-containing precursor and the hydrogen-containing precursor. The methods may include selectively removing at least a portion of the exposed silicon-and-oxygen-containing material.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

73.

BACKSIDE DEPOSITION FOR WAFER BOW MANAGEMENT

      
Numéro d'application US2023030336
Numéro de publication 2024/058891
Statut Délivré - en vigueur
Date de dépôt 2023-08-16
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Kotrappa, Arun, Kumar
  • Baginagere, Chandrashekara
  • Sundar, Ramcharan
  • Fazeli, Seyyed
  • Subramani, Anantha
  • Zhu, Siyu
  • Singhal, Akhil
  • Kraus, Philip, Allan

Abrégé

Embodiments disclosed herein include a semiconductor processing tool. In an embodiment, the semiconductor processing tool comprises a chamber, a pedestal in the chamber, and a first gas feed system on a first side of the pedestal. In an embodiment, the first gas feed system comprises a first exhaust line with a first valve to open and close the first exhaust line, and a first source gas feed line with a second valve to open and close the first source gas feed line. In an embodiment, the semiconductor processing tool further comprises a second gas feed system on a second side of the pedestal. In an embodiment, the second gas feed system comprises a second exhaust line with a third valve to open and close the second exhaust line, and a second source gas feed line with a fourth valve to open and close the second source gas feed line.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/509 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes internes
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

74.

GENERATION AND UTILIZATION OF VIRTUAL FEATURES FOR PROCESS MODELING

      
Numéro d'application US2023032531
Numéro de publication 2024/059064
Statut Délivré - en vigueur
Date de dépôt 2023-09-12
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Narayanan, Sundar
  • Barai, Samit
  • Chhanda, Nusrat Jahan
  • Kumar, Dheeraj
  • Kumar, Pardeep
  • Sethuraman, Anantha R.
  • Nurani, Raman Krishnan

Abrégé

A method includes receiving profile data of a plurality of features of a substrate. The method further includes generating a typical profile based on the profile data of the plurality of features. The method further includes generating a first array of features. Each of the first array of features is based on the typical profile. The method further includes providing the first array of features to a process model. The method further includes obtaining first output from the process model based on the first array of features. The method further includes causing performance of a corrective action in view of the first output from the process model.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • G05B 13/04 - Systèmes de commande adaptatifs, c. à d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques impliquant l'usage de modèles ou de simulateurs
  • G06N 20/00 - Apprentissage automatique

75.

ON-BOARD CLEANING OF TOOLING PARTS IN HYBRID BONDING TOOL

      
Numéro d'application US2023032822
Numéro de publication 2024/059234
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-03-21
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Wang, Ruiping
  • Wang, Ying
  • See, Guan Huei
  • Jupudi, Ananthkrishna
  • Choragudi, Praveen Kumar

Abrégé

Methods and apparatus for cleaning tooling parts in a substrate processing tool are provided herein. In some embodiments, a method of cleaning tooling parts in a substrate processing tool includes placing one or more dirty tools on a holder in a bonding chamber of a multi-chamber processing tool; transferring the holder from the bonding chamber to a cleaning chamber of the multi-chamber processing tool; cleaning the one or more dirty tools in the cleaning chamber to produce one or more cleaned tools; inspecting the one or more cleaned tools in an inspection chamber of the multi-chamber processing tool; and transferring the one or more cleaned tools to the bonding chamber

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/18 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives les dispositifs présentant au moins une barrière de potentiel ou une barrière de surface, p.ex. une jonction PN, une région d'appauvrissement, ou une région de concentration de porteurs de charges les dispositifs ayant des corps semi-conducteurs comprenant des éléments du groupe IV de la classification périodique, ou des composés AIIIBV, avec ou sans impuretés, p.ex. des matériaux de dopage
  • H01L 21/52 - Montage des corps semi-conducteurs dans les conteneurs
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

76.

OPTIMIZED SADDLE NOZZLE DESIGN FOR GAS INJECTION SYSTEM

      
Numéro d'application US2023072847
Numéro de publication 2024/059424
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-03-21
Propriétaire
  • APPLIED MATERIALS ISRAEL LTD. (Israël)
  • APPLIED MATERIALS, INC. (USA)
Inventeur(s) Zur, Yehuda

Abrégé

A gas injection nozzle that includes an elongated gas conduit that comprises: a first gas conduit segment configured to be coupled with a gas reservoir; a second gas conduit segment fluidly coupled to the first gas conduit segment and defining a downward curve of the elongated, gas conduit; a third gas conduit segment defining an upward curve of the elongated gas conduit that extends to a sealed end and is disposed in a mirrored relationship with at least a portion of the second gas conduit; and a central gas conduit segment coupled, between the second and third gas conduit, segments, the central gas conduit, segment having a first aperture formed in an upper surface of the central gas conduit and a second aperture, larger than the first aperture, formed in a lower surface of the central gas conduit directly across from the first aperture, wherein the elongated gas conduit has a first diameter along a portion of its length that includes at least the second, third, and central gas conduit segments and wherein the central gas conduit segment includes a substantially horizontal portion that extends on each side of the first and second apertures for a distance that is at least twice the first diameter of the gas conduit.

Classes IPC  ?

  • H01J 37/08 - Sources d'ions; Canons à ions
  • H01J 37/28 - Microscopes électroniques ou ioniques; Tubes à diffraction d'électrons ou d'ions avec faisceaux de balayage

77.

CLOSURE FOR PHARMACEUTICAL PREPARATIONS AND METHOD AND ROTARY DEPOSITION APPARATUS FOR MANUFACTURING THEREFOR

      
Numéro d'application EP2022074900
Numéro de publication 2024/051936
Statut Délivré - en vigueur
Date de dépôt 2022-09-07
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Dieguez-Campo, Jose Manuel
  • Singh, Vibhas
  • Morrison, Neil

Abrégé

222, and combinations thereof.

Classes IPC  ?

  • C23C 14/02 - Pré-traitement du matériau à revêtir
  • C23C 14/06 - Revêtement par évaporation sous vide, pulvérisation cathodique ou implantation d'ions du matériau composant le revêtement caractérisé par le matériau de revêtement
  • C23C 14/10 - Verre ou silice
  • C23C 14/50 - Porte-substrat
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p.ex. borures, carbures, nitrures
  • C23C 16/32 - Carbures
  • C23C 16/34 - Nitrures
  • C23C 16/40 - Oxydes
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • A61M 5/24 - Seringues à ampoules, c. à d. seringues à aiguille utilisables avec des ampoules ou des cartouches échangeables, p.ex. automatiques
  • A61J 1/14 - Récipients spécialement adaptés à des fins médicales ou pharmaceutiques - Détails; Accessoires à cet effet

78.

SOLID STATE VARIABLE IMPEDANCE DEVICE AND SYSTEM

      
Numéro d'application US2023030272
Numéro de publication 2024/054334
Statut Délivré - en vigueur
Date de dépôt 2023-08-15
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Coumou, David
  • Brown, Dennis

Abrégé

Embodiments disclosed herein include an impedance matching network. In an embodiment, the impedance matching network comprises an input, and a first matrix tuning element on a first branch from the input, where the first matrix tuning element comprises a variable capacitance. In an embodiment, the impedance matching network further comprises a transformer on a second branch from the input, where the transformer has at least a first tap, where a second matrix tuning element is on the first tap, and where the second matrix tuning element comprises a variable capacitance. In an embodiment, the impedance matching network further comprises a third matrix tuning element after the transformer on the second branch, where the third matrix tuning element comprises a variable capacitance. In an embodiment, the impedance matching network further comprises an output after the third matrix tuning element on the second branch.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03H 7/38 - Réseaux d'adaptation d'impédance

79.

REFLECTOR PLATE FOR SUBSTRATE PROCESSING

      
Numéro d'application US2023030822
Numéro de publication 2024/054351
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Iu, Dongming
  • Wu, Jian

Abrégé

A reflector plate assembly for processing a substrate includes a reflector plate having a first surface, wherein the first surface is a bare polished surface, a reflector disk embedded within the reflector plate from the first surface, a coating layer on the reflector disk, and a pyrometer disposed through an opening of the reflector disk.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

80.

METHODS AND APPARATUS FOR TOROIDAL PLASMA GENERATION

      
Numéro d'application US2023031985
Numéro de publication 2024/054438
Statut Délivré - en vigueur
Date de dépôt 2023-09-05
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ramaswamy, Kartik
  • Nguyen, Andrew
  • Yang, Yang
  • Ganta, Sathya
  • Silveira, Fernando
  • Guo, Yue
  • Liu, Lu

Abrégé

Methods and apparatus for forming plasma in a process chamber use an annular exciter formed of a first conductive material with a first end electrically connected to an RF power source that provides RF current and a second end connected to a ground and an annular applicator, physically separated from the annular exciter, formed of a second conductive material with at least one angular split with an angle forming an upper overlap portion and a lower overlap portion separated by a high K dielectric material which is configured to provide capacitance in conjunction with an inductance of the annular applicator to form a resonant circuit that is configured to resonate when the annular exciter flows RF current that inductively excites the annular applicator to a resonant frequency which forms azimuthal plasma from the annular applicator.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

81.

INTEGRATED PVD TUNGSTEN LINER AND SEAMLESS CVD TUNGSTEN FILL

      
Numéro d'application US2023031988
Numéro de publication 2024/054441
Statut Délivré - en vigueur
Date de dépôt 2023-09-05
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Xu, Yi
  • Zhao, Xianyuan
  • Qi, Zhimin
  • Zhang, Aixi
  • Vasquez, Geraldine
  • Wu, Dien-Yeh
  • Lei, Wei
  • Gao, Xingyao
  • Pethe, Shirish
  • Hou, Wenting
  • Du, Chao
  • Yang, Tsung-Han
  • Bu, Kyoung-Ho
  • Lin, Chen-Han
  • Ravi, Jallepally
  • Lei, Yu
  • Wang, Rongjun
  • Tang, Xianmin

Abrégé

A method and apparatus for forming tungsten features in semiconductor devices is provided. The method includes exposing a top opening of a feature formed in a substrate to a physical vapor deposition (PVD) process to deposit a tungsten liner layer within the feature. The PVD process is performed in a first processing region of a first processing chamber and the tungsten liner layer forms an overhang portion, which partially obstructs the top opening of the feature. The substrate is transferred from the first processing region of the first processing chamber to a second processing region of a second processing chamber without breaking vacuum. The overhang portion is exposed to nitrogen-containing radicals in the second processing region to inhibit subsequent growth of tungsten along the overhang portion. The feature is exposed to a tungsten-containing precursor gas to form a tungsten fill layer over the tungsten liner layer within the feature.

Classes IPC  ?

  • C23C 14/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 14/14 - Matériau métallique, bore ou silicium
  • C23C 14/58 - Post-traitement
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/08 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir d'halogénures métalliques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 28/00 - Revêtement pour obtenir au moins deux couches superposées, soit par des procédés non prévus dans un seul des groupes principaux , soit par des combinaisons de procédés prévus dans les sous-classes et

82.

RADICAL TREATMENT FOR METAL GATE STACK

      
Numéro d'application US2023032024
Numéro de publication 2024/054463
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Liu, Zhihui
  • Ganguli, Seshadri
  • Huang, Tianyi
  • Yang, Yixiong
  • Gandikota, Srinivas
  • Zheng, Yuanhua
  • Lin, Yongjing
  • Karandikar, Keyur
  • Mao, Elizabeth

Abrégé

22*) and hydrogen radicals (H*) over the surface of the metal gate stack.

Classes IPC  ?

  • H01L 29/40 - Electrodes
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes

83.

VACUUM BAKE FOR EUV LITHOGRAPHY

      
Numéro d'application US2023032141
Numéro de publication 2024/054537
Statut Délivré - en vigueur
Date de dépôt 2023-09-07
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Dai, Huixiong
  • Bangar, Mangesh Ashok
  • Hsu, Chih-An
  • Nemani, Srinivas D.
  • Lubomirsky, Dmitry
  • Yieh, Ellie Y.

Abrégé

A method and apparatus for performing post-exposure bake operations is described herein. After exposure of photoresist on a substrate, the substrate is heated during a baking process to facilitate protection of the resist. The baking process is performed in a vacuum environment at sub-atmospheric pressures. After baking at reduced pressure, the substrate is cooled. The cooling process is performed at sub- atmospheric pressures. Further development of the resist is performed at ambient pressures.

Classes IPC  ?

  • G03F 7/38 - Traitement avant le dépouillement selon l'image, p.ex. préchauffage
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

84.

SCANNING IMPEDANCE MEASUREMENT IN A RADIO FREQUENCY PLASMA POCESSING CHAMBER

      
Numéro d'application US2022051912
Numéro de publication 2024/054223
Statut Délivré - en vigueur
Date de dépôt 2022-12-06
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Guo, Yue
  • Ramaswamy, Kartik
  • Bright, Nicolas J.
  • Yang, Yang
  • Azad, A N M Wasekul

Abrégé

Embodiments include a method of processing a substrate in a plasma processing system, comprising delivering an RF signal, by an RF generator, through an RF match to an electrode assembly while the RF match is set to a first matching point, and delivering a voltage waveform, by a waveform generator, to the electrode assembly while the RF signal is delivered to the electrode assembly. The method includes receiving, by the RF match, a synchronization signal from a RF generator or the waveform generator, measuring, by an output sensor of the RF match, different sets of impedance related data of the plasma processing system over different time periods and after different delays, calculating, by the RF match, a combined impedance parameter based on the different_sets of impedance related data, and adjusting a matching parameter within the RF match based on the combined impedance parameter to achieve a second matching point.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

85.

SACRIFICIAL LAYER FOR FORMING MERGED HIGH ASPECT RATIO CONTACTS IN 3D NAND MEMORY DEVICE

      
Numéro d'application US2023031262
Numéro de publication 2024/054369
Statut Délivré - en vigueur
Date de dépôt 2023-08-28
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Wang, Jialiang
  • Lee, Soonil
  • Venkatasubramanian, Eswaranand
  • Kang, Chang Seok
  • Kamath, Sanjay G.
  • Mallick, Abhijit B.
  • Guggilla, Srinivas
  • Child, Amy
  • Kang, Sung-Kwan
  • Pranatharthiharan, Balasubramanian

Abrégé

A method of forming a semiconductor memory device includes simultaneously filling a top portion of a first high aspect ratio (HAR) structure and a top portion a second HAR structure with a silicon-containing sacrificial layer by a cycle of a deposition process and an etch process, wherein the first HAR structure has a critical dimension (CD) of between 150 nm and 250 nm, and the second HAR structure has a CD of between 250 nm and 400 nm.

Classes IPC  ?

  • H10B 43/27 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
  • H10B 43/35 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par la région noyau de mémoire avec transistors de sélection de cellules, p.ex. NON-ET
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

86.

SYMMETRIC ANTENNA ARRAYS FOR HIGH DENSITY PLASMA ENHANCED PROCESS CHAMBER

      
Numéro d'application US2023031859
Numéro de publication 2024/054411
Statut Délivré - en vigueur
Date de dépôt 2023-09-01
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Ye, Zheng John
  • Sequeira, Jeevan Prakash
  • Kao, Chien-Teh
  • Won, Tae Kyung
  • Lee, Young Dong
  • Choi, Soo Young
  • Anwar, Suhail
  • Zhou, Jianhua

Abrégé

The present disclosure is directed to an antenna array. The antenna array includes a plurality of dielectric windows coupled to a support structure comprising a plurality of gas ports, a primary frame comprising a primary conduit connected to a power source and a plurality of secondary frames supported by the primary frame. The secondary frame includes a secondary conduit connected to the primary conduit. A plurality of inductive couplers are disposed over the plurality of dielectric windows and supported by the secondary frames. The plurality of inductive couplers include a plurality of antenna connectors and a plurality of plurality of antennas. The plurality of antenna connectors connect the plurality of antennas to the secondary conduit.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

87.

CLOG DETECTION VIA IMAGE ANALYTICS

      
Numéro d'application US2023032109
Numéro de publication 2024/054516
Statut Délivré - en vigueur
Date de dépôt 2023-09-06
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Chhabra, Yash
  • Dhar, Abyaya
  • Chan, Boon Sen
  • Hung, Yenwei
  • Kurakula, Sidda Reddy
  • Roy, Chandrasekhar
  • Wang, Chih Chuan

Abrégé

A method includes identifying an image of a substrate processing equipment part that forms a plurality of holes. The method further includes determining, by a processing device based on the image, a corresponding neighboring angular distance of each of the plurality of holes and a corresponding area of each of the plurality of holes. The method further includes identifying, by the processing device, a first subset of the plurality of holes that are at least partially clogged based on at least one of the corresponding neighboring angular distance or the corresponding area of each of the plurality of holes. A corrective action associated with the substrate processing equipment part is to be performed based on the first subset of the plurality of holes that are at least partially clogged.

Classes IPC  ?

  • G06T 7/00 - Analyse d'image
  • G06T 7/62 - Analyse des attributs géométriques de la superficie, du périmètre, du diamètre ou du volume
  • G06T 7/11 - Découpage basé sur les zones
  • G06T 3/40 - Changement d'échelle d'une image entière ou d'une partie d'image
  • G06T 7/13 - Détection de bords
  • G06T 7/66 - Analyse des attributs géométriques des moments d'image ou du centre de gravité
  • G06N 20/00 - Apprentissage automatique

88.

MULTIPLE PLASMA ION SOURCE FOR INLINE SECONDARY ION MASS SPECTROMETRY

      
Numéro d'application US2023032144
Numéro de publication 2024/054539
Statut Délivré - en vigueur
Date de dépôt 2023-09-07
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Yang, Ming Hong
  • Kouzminov, Dimitry
  • Srivatsa, Arun Ramaswamy

Abrégé

Methods leverage premixed gas mixtures to perform a metrology process on a substrate using an inline secondary ion mass spectrometry (SIMS) process. The premixed gas mixture of two or more gases is injected into a plasma chamber that is configured to produce sputtering ions for the inline SIMS process. The two or more gases produce non-metallic ion species which are compatible with downstream substrate fabrication processes and allow further fabrication to be performed on the substrate after the inline SIMS process has completed. The sputtering ions are ejected from the plasma chamber into a magnetic field. The intensity of the magnetic field is altered to select a single species of ions. The single species of ions are directed towards a surface of the substrate and secondary ions sputtered from the surface of the substrate by the selected species of ions are detected and analyzed.

Classes IPC  ?

  • H01J 49/10 - Sources d'ions; Canons à ions
  • H01J 49/06 - Dispositifs électronoptiques ou ionoptiques

89.

FLUORINE-DOPED SILICON-CONTAINING MATERIALS

      
Numéro d'application US2023073733
Numéro de publication 2024/054977
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-03-14
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zhu, Siyu
  • Yu, Hang
  • Padhi, Deenesh
  • Kang, Sung-Kwan
  • Mohammed, Abdul Wahab
  • Mallick, Abhijit Basu

Abrégé

Exemplary semiconductor processing methods may include providing one or more deposition precursors to a processing region of a semiconductor processing chamber. The methods may include contacting a substrate housed in the processing region with the one or more deposition precursors. The methods may include forming a silicon-containing material on the substrate. The methods may include providing a fluorine-containing precursor to the processing region of the semiconductor processing chamber. The methods may include contacting the silicon-containing material on the substrate with the fluorine-containing precursor to form a fluorine-treated silicon-containing material. The methods may include contacting the fluorine-treated silicon-containing material with plasma effluents of argon or diatomic nitrogen.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

90.

CLOSED LOOP FARADAY CORRECTION OF A HORIZONTAL BEAM CURRENT PROFILE FOR UNIFORM CURRENT TUNING

      
Numéro d'application US2023028857
Numéro de publication 2024/049595
Statut Délivré - en vigueur
Date de dépôt 2023-07-27
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Wills, Tyler
  • Sprenkle, Richard Allen

Abrégé

A system and method for creating a beam current profile that eliminates variations that are not position dependent is disclosed. The system includes two Faraday sensors; one which is moved across the ion beam and a second that remains at or near a certain location. The reference Faraday sensor is used to measure temporal variations in the beam current, while the movable Faraday sensor measures both the position dependent variations and the temporal variations. By combining these measurements, the actual position dependent variations of the scanned ion beam can be determined. This resultant beam current profile can then be used to control the scan speed of the electrostatic or magnetic scanner.

Classes IPC  ?

  • H01J 37/317 - Tubes à faisceau électronique ou ionique destinés aux traitements localisés d'objets pour modifier les propriétés des objets ou pour leur appliquer des revêtements en couche mince, p.ex. implantation d'ions
  • H01J 37/08 - Sources d'ions; Canons à ions
  • H01J 37/147 - Dispositions pour diriger ou dévier la décharge le long d'une trajectoire déterminée

91.

MEMORY DEVICES HAVING IMPROVED MEMORY STATE RETENTION

      
Numéro d'application US2023030034
Numéro de publication 2024/049624
Statut Délivré - en vigueur
Date de dépôt 2023-08-11
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Pesic, Milan
  • Subrahmanyan, Pradeep K.

Abrégé

Embodiments of the disclosure include an apparatus and method of forming an improved memory device. In some embodiments, the apparatus generally includes, for example, a plurality of alternating layers formed over a surface of a substrate including a plurality of word line layers with gate regions and a plurality of inter-word line dielectric layers; a channel; and an ONO layer stack disposed between the gate regions and the channel. The embodiments of the present disclosure may include at least one of: word line layers with gate regions that have sidewalls that have a reverse dome shape, sacrificial layers disposed between the word line layers and the inter-word line dielectric layers, or top and bottom dielectric layers deposited on top and bottom portions of the word line layers. Embodiments of the disclosure described herein may allow for the electric field of the gate regions of a memory device to be modified.

Classes IPC  ?

  • H10B 43/35 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par la région noyau de mémoire avec transistors de sélection de cellules, p.ex. NON-ET
  • H10B 43/27 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par les agencements tridimensionnels, p ex. avec des cellules à des niveaux différents de hauteur la région de source et la région de drain étant à différents niveaux, p.ex. avec des canaux inclinés les canaux comprenant des parties verticales, p.ex. des canaux en forme de U
  • H10B 43/50 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par la région limite entre la région noyau et la région de circuit périphérique

92.

LANTHANUM NITRIDE AS A DRAM MOLYBDENUM LINER

      
Numéro d'application US2023031068
Numéro de publication 2024/049701
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Haddadin, Rand
  • Bhatnagar, Kunal

Abrégé

Methods for DRAM device with a buried word line are described. The method includes forming a metal nitride layer comprising lanthanum nitride (LaN) and a molybdenum conductor layer in a feature on a substrate. The method includes depositing the molybdenum conductor layer by atomic layer deposition (ALD) on the metal nitride layer.

Classes IPC  ?

  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

93.

SELECTIVE MOSI DEPOSITION

      
Numéro d'application US2023031120
Numéro de publication 2024/049707
Statut Délivré - en vigueur
Date de dépôt 2023-08-25
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Empante, Thomas Anthony
  • Gelatos, Avgerinos V.

Abrégé

Methods for forming a semiconductor structure and semiconductor structures are described. Some embodiments of the method comprise patterning a substrate to form a first opening and a second opening, the substrate comprising an n transistor and a p transistor, the first opening over the n transistor and the second opening over the p transistor. The substrate is pre-cleaned. A molybdenum film is selectively deposited on the p transistor.

Classes IPC  ?

  • C23C 16/42 - Siliciures
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS

94.

GAS DISTRIBUTION APPARATUSES FOR IMPROVING MIXING UNIFORMITY

      
Numéro d'application US2023031265
Numéro de publication 2024/049751
Statut Délivré - en vigueur
Date de dépôt 2023-08-28
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Chang, Youngki
  • Kashyap, Dhritiman Subha
  • Ramadas, Rakesh
  • Agarwal, Ashutosh
  • Patel H B, Shashidhara
  • Mustafa, Muhannad
  • Baluja, Sanjeev

Abrégé

Gas distribution apparatuses described herein include a mixing plate adjacent a back plate of a showerhead. The mixing plate has a back surface and a front surface defining a thickness of the mixing plate. The mixing plate has a mixing channel comprising a top portion and a bottom portion defining a mixing channel length and at least two gas inlets in fluid communication with the top portion of the mixing channel. The gas distribution apparatus also includes a mixer disposed within the thickness of the mixing plate in the top portion of the mixing channel. The mixer has a top plate and a mixer stem extending from the top plate and a plurality of blades positioned along the mixer stem length. Also provided are processing chambers including the gas distribution apparatuses described herein.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

95.

METHODS FOR FORMING ALIGNMENT MARKS

      
Numéro d'application US2023031271
Numéro de publication 2024/049754
Statut Délivré - en vigueur
Date de dépôt 2023-08-28
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Lianto, Prayudi
  • Jiang, Liu
  • Bernt, Marvin Louis
  • Bazizi, El Mehdi
  • See, Guan Huei

Abrégé

A method for forming alignment marks leverages pad density and critical dimensions (CDs). In some embodiments, the method includes forming first and second alignment marks on a first substrate and a second substrate where the alignment marks have a width within 5% of the associated CD of copper pads on the respective substrates and forming a first and second dummy patterns around the first and second alignment marks. The first and second dummy patterns have dummy pattern densities within 5% of the respective copper pad density of the first and second substrates and CDs within 5% of the respective copper pad CDs. In some embodiments, alignment marks with physical dielectric material protrusions and recesses on opposite substrate surfaces may further enhance bonding.

Classes IPC  ?

  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

96.

PHYSICAL VAPOR DEPOSITION (PVD) CHAMBER TITANIUM-TUNGSTEN (TIW) TARGET FOR PARTICLE IMPROVEMENT

      
Numéro d'application US2023031359
Numéro de publication 2024/049800
Statut Délivré - en vigueur
Date de dépôt 2023-08-29
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Reddy, Sundarapandian Ramalinga Vijayalakshmi
  • Savandaiah, Kirankumar Neelasandra
  • Wei, Junqi
  • Hoerner, Bridger Earl
  • Boh, Kelvin Tai Ming
  • Shimoga Mylarappa, Madan Kumar

Abrégé

Target assemblies for PVD chambers are provided herein. In some embodiments, a target assembly for a PVD chamber includes: a backing plate; and a target coupled to the backing plate and having a substrate facing surface opposite the backing plate, wherein a peripheral portion of the target includes an angled surface extending radially outward and toward the backing plate, wherein an annular portion of the angled surface has a surface roughness greater than a surface roughness of a remainder of the substrate facing surface of the target.

Classes IPC  ?

  • C23C 14/34 - Pulvérisation cathodique
  • C23C 14/14 - Matériau métallique, bore ou silicium
  • H01J 37/34 - Tubes à décharge en atmosphère gazeuse fonctionnant par pulvérisation cathodique

97.

SMART MANUFACTURING SOLUTIONS FOR WASTEWATER TREATMENT

      
Numéro d'application US2023028082
Numéro de publication 2024/049562
Statut Délivré - en vigueur
Date de dépôt 2023-07-18
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Cayer, Maxime
  • Koenig, John L.
  • Tong, Tony H.
  • Crawford, Shaun W.
  • L'Heureux, James
  • Neuber, Andreas
  • Hsieh, Ching-Hong

Abrégé

The present disclosure generally relates to methods and system used to collect waste fluids. A system controller is disclosed to control the operation of at least a portion of the system. The controller has a CPU. The fabrication facility includes a first processing system having fluid dispensed therein for processing a material on a part. A first drain is configured to collect the processing fluid as waste fluid after processing the part. The fabrication facility also includes a waste collection system fluidly coupled to the system drain. The waste collection system has two or more valves configured to couple the system drain and two or more facility drains. Each facility drain is uniquely coupled to one of the two or more valves. The CPU is configured to operate the valves between an open and a closed state in response to the fluid entering the system drain.

Classes IPC  ?

  • B24B 55/12 - Dispositifs d'évacuation du nuage d'huile ou de l'agent de refroidissement; Dispositifs pour collecter ou récupérer des matériaux issus du meulage ou du polissage, p.ex. métaux précieux, pierres précieuses, diamants ou similaires
  • B24B 55/03 - Dispositifs de sécurité pour machines de meulage ou de polissage; Accessoires adaptés aux machines à meuler ou à polir pour maintenir les outils ou les parties de machines en bon état de marche Équipement pour refroidir les surfaces abrasives, p.ex. dispositifs d'alimentation en agent de refroidissement conçus comme équipement complet d'alimentation en agent de refroidissement ou de clarification de celui-ci
  • B24B 37/005 - Moyens de commande pour machines ou dispositifs de rodage

98.

SHOWERHEAD ASSEMBLY WITH HEATED SHOWERHEAD

      
Numéro d'application US2023029804
Numéro de publication 2024/049620
Statut Délivré - en vigueur
Date de dépôt 2023-08-09
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Subramani, Anantha K.
  • Fazeli, Seyyed Abdolreza
  • Guo, Yang
  • Baginagere, Chandrashekara
  • Sundar, Ramcharan
  • Mosbrucker, Steven
  • Lee, John
  • Wan, Yiyang
  • Zhang, Shumao
  • Kashyap, Dhritiman Subha
  • Ali M.A, Azhar

Abrégé

In some embodiments, a showerhead assembly includes a heated showerhead having a heater plate and a gas distribution plate coupled together; an ion filter spaced from the heated showerhead; a spacer ring in contact between the heated showerhead and the ion filter; a remote plasma region between the heated showerhead and the ion filter; an upper isolator spaced from the spacer ring and supported on the ion filter; a sealing ring fastened to the heated showerhead sealing against the upper isolator and pushing the upper isolator against the ion filter; a gap between a bottom of the gas distribution plate and a top of the ion filter, the gap being in fluid communication with the remote plasma region; a first passage extending through the heater plate; and a second passage in communication with the first passage and extending through the gas distribution plate, the second passage extending to the gap.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

99.

MODULAR CHEMICAL MECHANICAL POLISHER WITH SIMULTANEOUS POLISHING AND PAD TREATMENT

      
Numéro d'application US2023030348
Numéro de publication 2024/049642
Statut Délivré - en vigueur
Date de dépôt 2023-08-16
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Zuniga, Steven M.
  • Gurusamy, Jay

Abrégé

The present disclosure is directed towards polishing modules for performing chemical mechanical polishing of a substrate. The substrate may be a semiconductor substrate. The polishing modules described have a plurality of pads, such as polishing pads, disposed within a single polishing station. The pads are configured to remain stationary during processing, such as during polishing or buff operations. Either an x-y gantry assembly or a head actuation assembly is coupled to a system body of a polishing module and is configured to move a carrier head over the pads. Between process operations the polishing pads may be indexed to expose a new polishing pad to the carrier head.

Classes IPC  ?

  • B24B 53/017 - Dispositifs ou moyens pour dresser, nettoyer ou remettre en état les outils de rodage
  • B24B 55/06 - Equipement d'enlèvement des poussières sur les machines à meuler ou à polir
  • B24B 37/27 - Supports de pièce
  • B24B 37/11 - Outils de rodage
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

100.

RETAINER FOR CHEMICAL MECHANICAL POLISHING CARRIER HEAD

      
Numéro d'application US2023031517
Numéro de publication 2024/049890
Statut Délivré - en vigueur
Date de dépôt 2023-08-30
Date de publication 2024-03-07
Propriétaire APPLIED MATERIALS, INC. (USA)
Inventeur(s)
  • Nagengast, Andrew J.
  • Oh, Jeonghoon
  • Zuniga, Steven M.
  • Chen, Kuen-Hsiang
  • Lau, Eric

Abrégé

A retaining ring for a carrier head of a chemical mechanical polishing system includes an annular outer portion having an annular outer surface and a plurality of flanges projecting radially inward from the annular outer portion. Adjacent flanges are separated by a gap and inner ends of the plurality of flanges provide an inner surface to contact a substrate held in the carrier head. The plurality of flanges are canted relative to radial direction.

Classes IPC  ?

  • B24B 37/32 - Bagues de retenue
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  1     2     3     ...     75        Prochaine page