Taiwan Semiconductor Manufacturing Company, Ltd.

Taïwan, Province de Chine

Retour au propriétaire

1-17 de 17 pour Taiwan Semiconductor Manufacturing Company, Ltd. Trier par
Recheche Texte
Brevet
International - WIPO
Excluant les filiales
Affiner par Reset Report
Date
2022 1
Avant 2019 16
Classe IPC
H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives 3
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif 2
H01L 23/498 - Connexions électriques sur des substrats isolants 2
H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter 2
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée 2
Voir plus
Résultats pour  brevets

1.

SEMICONDUCTOR APPARATUS

      
Numéro d'application JP2021038566
Numéro de publication 2022/102353
Statut Délivré - en vigueur
Date de dépôt 2021-10-19
Date de publication 2022-05-19
Propriétaire
  • SONY SEMICONDUCTOR SOLUTIONS CORPORATION (Japon)
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Terada, Haruhiko
  • Tseng, K.C.

Abrégé

An embodiment of the present disclosure relates to a semiconductor apparatus comprising: a first memory cell layer including a first select line extending in a first direction, a second select line extending in a second direction, and a first memory cell connected to the first select line and the second select line; a second memory cell layer provided over the first memory cell layer and including a third select line extending in the first direction, a fourth select line extending in the second direction, and a second memory cell connected to the third select line and to the fourth select line; and a first wiring layer provided between the first memory cell layer and the second memory cell layer and including a first metal wire.

Classes IPC  ?

  • H01L 27/105 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration répétitive comprenant des composants à effet de champ
  • H01L 21/8239 - Structures de mémoires
  • H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives

2.

SCANNING FERROMAGNETIC RESONANCE (FMR) FOR WAFER-LEVEL CHARACTERIZATION OF MAGNETIC FILMS AND MULTILAYERS

      
Numéro d'application US2018020361
Numéro de publication 2018/175080
Statut Délivré - en vigueur
Date de dépôt 2018-03-01
Date de publication 2018-09-27
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Guisan, Santiago, Serrano
  • Thomas, Luc
  • Le, Son
  • Jan, Guenole

Abrégé

A ferromagnetic resonance (FMR) measurement system is disclosed with a waveguide transmission line (WGTL) connected at both ends to a mounting plate having an opening through which the WGTL is suspended. While the WGTL bottom surface contacts a portion of magnetic film on a whole wafer, a plurality of microwave frequencies is sequentially transmitted through the WGTL. Simultaneously, a magnetic field is applied to the contacted region thereby causing a FMR condition in the magnetic film. After RF output is transmitted through or reflected from the WGTL to a RF detector and converted to a voltage signal, effective anisotropy field, linewidth, damping coefficient, and/or inhomogeneous broadening are determined based on magnetic field intensity, microwave frequency and voltage output. A plurality of measurements is performed by controllably moving the WGTL or wafer and repeating the simultaneous application of microwave frequencies and magnetic field at additional preprogrammed locations on the magnetic film.

Classes IPC  ?

  • G01N 24/08 - Recherche ou analyse des matériaux par l'utilisation de la résonance magnétique nucléaire, de la résonance paramagnétique électronique ou d'autres effets de spin en utilisant la résonance magnétique nucléaire
  • G01R 33/30 - Dispositions pour le traitement des échantillons, p.ex. cellules d'essai, mécanismes rotationnels
  • G01R 31/3185 - Reconfiguration pour les essais, p.ex. LSSD, découpage
  • G01R 31/315 - Test sans contact par des méthodes inductives
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

3.

PHASE CHANGE MATERIAL FOR A PHASE CHANGE MEMORY DEVICE AND METHOD FOR ADJUSTING THE RESISTIVITY OF THE MATERIAL

      
Numéro d'application IB2010051891
Numéro de publication 2010/125540
Statut Délivré - en vigueur
Date de dépôt 2010-04-29
Date de publication 2010-11-04
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD (Taïwan, Province de Chine)
  • NXP B.V. (Pays‑Bas)
Inventeur(s)
  • In 'T Zandt, Michael Antoine Armand
  • Wolters, Robertus Adrianus Maria
  • Wondergem, Harry

Abrégé

A phase change material for use in a phase change memory device comprises germanium-antimony-tellurium-indium, wherein the phase change material comprises in total more than 30 at% antimony, preferably 5-16 at% germanium, 30-60 at% antimony, 25-51 at% tellurium, and 2-33% at% indium.

Classes IPC  ?

  • H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives

4.

A MEMORY CELL, AN ARRAY, AND A METHOD FOR MANUFACTURING A MEMORY CELL

      
Numéro d'application IB2010051685
Numéro de publication 2010/122470
Statut Délivré - en vigueur
Date de dépôt 2010-04-19
Date de publication 2010-10-28
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s) Golubovic, Dusan

Abrégé

A memory cell (100) comprising a transistor, the transistor comprising a substrate (101), a first source/drain region (102), a second source/drain region (112), a gate (104) and a gate insulating layer (103) positioned between the substrate (101) and the gate (104), wherein the gate insulating layer (103) is in a direct contact with the substrate (101) and comprises charge traps (131) distributed over an entire volume of the gate insulating layer (101).

Classes IPC  ?

  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/788 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée à grille flottante
  • H01L 29/792 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée à isolant de grille à emmagasinage de charges, p.ex. transistor de mémoire MNOS

5.

DEVICES FORMED FROM A NON-POLAR PLANE OF A CRYSTALLINE MATERIAL AND METHOD OF MAKING THE SAME

      
Numéro d'application US2010029552
Numéro de publication 2010/114956
Statut Délivré - en vigueur
Date de dépôt 2010-04-01
Date de publication 2010-10-07
Propriétaire Taiwan Semiconductor Manufacturing Company, Ltd. (Taïwan, Province de Chine)
Inventeur(s) Lochtefeld, Anthony, J.

Abrégé

Materials, methods, structures and device including the same can provide a semiconductor device such as an LED using an active region corresponding to a non-polar face or surface of III-V semiconductor crystalline material. In some embodiments, an active diode region contains more non-polar III-V material oriented to a non-polar plane than III-V material oriented to a polar plane. In other embodiments, a bottom region contains more non-polar m-plane or a-plane surface area GaN than polar c-plane surface area GaN facing an active region.

Classes IPC  ?

  • H01L 31/12 - Dispositifs à semi-conducteurs sensibles aux rayons infrarouges, à la lumière, au rayonnement électromagnétique d'ondes plus courtes, ou au rayonnement corpusculaire, et spécialement adaptés, soit comme convertisseurs de l'énergie dudit rayonnement e; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives; Leurs détails structurellement associés, p.ex. formés dans ou sur un substrat commun, avec une ou plusieurs sources lumineuses électriques, p.ex. avec des sources lumineuses électroluminescentes, et en outre électriquement ou optiquement couplés avec lesdites sour

6.

SILICON-BASED SUB-MOUNT FOR AN OPTO-ELECTRONIC DEVICE

      
Numéro d'application EP2010051562
Numéro de publication 2010/092042
Statut Délivré - en vigueur
Date de dépôt 2010-02-09
Date de publication 2010-08-19
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Kuhmann, Jochen
  • Huscher, Heike

Abrégé

A package for an optoelectronic device (e.g., a light emitting device such as a LED) includes a sub-mount including a silicon substrate having a thickness in the range of 350 μm - 700 μm. The optoelectronic device is mounted on a die attach pad on the front-side surface of the substrate. Feed-through metallization in one or more via structures having inclined walls such that the cross section of the via structures becomes narrower in a direction into the substrate from both the front-side and back-side surfaces, electrically couples the die attach pad to a contact pad on the back-side surface of the substrate.

Classes IPC  ?

  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 33/62 - Dispositions pour conduire le courant électrique vers le corps semi-conducteur ou depuis celui-ci, p.ex. grille de connexion, fil de connexion ou billes de soudure

7.

SEMICONDUCTOR-BASED SUBMOUNT WITH ELECTRICALLY CONDUCTIVE FEED-THROUGHS

      
Numéro d'application EP2010050265
Numéro de publication 2010/081795
Statut Délivré - en vigueur
Date de dépôt 2010-01-12
Date de publication 2010-07-22
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Shiv, Lior
  • Shepherd, John, Nicholas

Abrégé

A submount for a micro-component includes a semiconductor substrate having a cavity defined in a front-side of the substrate in which to mount the micro-component. The submount also includes a thin silicon membrane portion at a bottom of the cavity and thicker frame portions adjacent to sidewalls of the cavity. The substrate includes an electrically conductive feed-through connection extending from a back-side of the substrate at least partially through the thicker silicon frame portion. Electrical contact between the feed- through connection and a conductive layer on a surface of the cavity is made at least partially through a sidewall of the cavity.

Classes IPC  ?

  • H01L 23/13 - Supports, p.ex. substrats isolants non amovibles caractérisés par leur forme
  • H01L 23/14 - Supports, p.ex. substrats isolants non amovibles caractérisés par le matériau ou par ses propriétés électriques
  • H01L 23/498 - Connexions électriques sur des substrats isolants

8.

SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

      
Numéro d'application IB2009055284
Numéro de publication 2010/079389
Statut Délivré - en vigueur
Date de dépôt 2009-11-23
Date de publication 2010-07-15
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Mueller, Markus
  • Singanamalla, Raghunath

Abrégé

A semiconductor device and a method of manufacturing a gate stack for such a semiconductor device. The device includes a gate stack that has a gate insulation layer provided over a channel region of the device, and a metal layer that is insulated from the channel region by the gate insulation layer. The metal layer contains work function modulating impurities which have a concentration profile that varies along a length of the metal layer from the source region to the drain region. The gate stack has a first effective work function in the vicinity of a source region and/or the drain region of the device and a second, different effective work function toward a centre of the channel region.

Classes IPC  ?

  • H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

9.

METHOD OF PLATING THROUGH WAFER VIAS IN A WAFER FOR 3D PACKAGING

      
Numéro d'application IB2009054233
Numéro de publication 2010/041165
Statut Délivré - en vigueur
Date de dépôt 2009-09-28
Date de publication 2010-04-15
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Besling, Willem Frederik Adrianus
  • Roozeboom, Freddy
  • Lamy, Yann Pierre Roger

Abrégé

Therefore, a method of plating wafer via holes in a wafer is provided. A substrate (200) having a first and second side and a plurality of wafer via holes (210) is provided. Each via hole comprises a first and second end extending between the first and second side. A first seed layer (220) is deposited on the first side of the 5 wafer (200). A foil (250) is applied on the first seed layer (220) of the wafer closing the first ends of the plurality of wafer via holes (210). The second side of the wafer (200) is electro-chemically plated and the foil (250) is removed.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes

10.

PHASE CHANGE MEMORY CELLS AND FABRICATION THEREOF

      
Numéro d'application IB2009054313
Numéro de publication 2010/038216
Statut Délivré - en vigueur
Date de dépôt 2009-10-02
Date de publication 2010-04-08
Propriétaire
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
  • INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM VZW (Belgique)
Inventeur(s)
  • Kochupurackal, Jinesh, B. P.
  • Wolters, Robertus, A. M.
  • Zandt, Michael, A. A.

Abrégé

A phase change memory cell, e.g. a line-cell (2), and fabrication thereof, the cell comprising: two electrodes (6, 8); phase change memory material (10) and a dielectric barrier (12). The dielectric barrier (12) is arranged to provide electron tunnelling, e.g. Fowler-Nordheim tunnelling, to the phase change memory material (10). A contact (15) made of phase change memory material may also be provided. The dielectric barrier (12) is substantially uniform e.g. of substantially uniform thickness, e.g. ≥ 5nm.

Classes IPC  ?

  • H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives

11.

FIN FIELD EFFECT TRANSISTOR (FINFET)

      
Numéro d'application IB2009053963
Numéro de publication 2010/032174
Statut Délivré - en vigueur
Date de dépôt 2009-09-10
Date de publication 2010-03-25
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Doornbos, Gerben
  • Lander, Robert

Abrégé

A Fin FET whose fin (12) has an upper portion (30) doped with a first conductivity type and a lower portion (32) doped with a second conductivity type, wherein the junction (34) between the upper portion (30) and the lower portion (32) acts as a diode; and the FinFET further comprises: at least one layer (26, 28) of high-k dielectric material (for example Si3 N4 ) adjacent at least one side of the fin (12) for redistributing a potential drop more evenly over the diode, compared to if the at least one layer of high-k dielectric material were not present, when the upper portion (30) is connected to a first potential and the lower portion (32) is connected to a second potential thereby providing the potential drop across the junction (34). Examples of the k value for the high-k dielectric material are k ≥ 5, k ≥ 7.5, and k ≥ 20.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 21/336 - Transistors à effet de champ à grille isolée

12.

FORMATION OF DEVICES BY EPITAXIAL LAYER OVERGROWTH

      
Numéro d'application US2009057493
Numéro de publication 2010/033813
Statut Délivré - en vigueur
Date de dépôt 2009-09-18
Date de publication 2010-03-25
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Fiorenza, James
  • Lochtefeld, Anthony
  • Bai, Jie
  • Park, Ji-Soo
  • Hydrick, Jennifer
  • Li, Jizhong
  • Cheng, Zhiyuan

Abrégé

Methods and structures are provided for formation of devices, e.g., solar cells, on substrates including, e.g., lattice-mismatched materials, by the use of aspect ratio trapping (ART) and epitaxial layer overgrowth (ELO). In general, in a first aspect, embodiments of the invention may include a method of forming a structure. The method includes forming a first opening in a masking layer disposed over a substrate that includes a first semiconductor material. A first layer, which includes a second semiconductor material lattice-mismatched to the first semiconductor material, is formed within the first opening. The first layer has a thickness sufficient to extend above a top surface of the masking layer. A second layer, which includes the second semiconductor material, is formed on the first layer and over at least a portion of the masking layer. A vertical growth rate of the first layer is greater than a lateral growth rate of the first layer and a lateral growth rate of the second layer is greater than a vertical growth rate of the second layer.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 31/042 - Modules PV ou matrices de cellules PV individuelles

13.

PLANAR THERMOPILE INFRARED MICROSENSOR

      
Numéro d'application IB2009053896
Numéro de publication 2010/029488
Statut Délivré - en vigueur
Date de dépôt 2009-09-07
Date de publication 2010-03-18
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Boutchich, Mohamed
  • Bataillou, Benoit

Abrégé

An IR sensor comprises a heat sink substrate (10) having portions (12) of relatively high thermal conductivity and portions (14) of relatively low thermal conductivity and a planar thermocouple layer (16) having a hot junction (18) and a cold junction (20), with the hot junction (18) located on a portion (14) of the heat sink substrate with relatively low thermal conductivity. A low thermal conductivity dielectric layer (22) is provided over the thermocouple layer (16), and has a via (24) leading to the hot junction (18). An IR reflector layer (26) covers the low thermal conductivity dielectric layer (22) and the side walls of the via (24). An IR absorber (30; 30') is within the via. This structure forms a planar IR microsensor which uses a structured substrate and a dielectric layer to avoid the need for any specific packaging. This design provides a higher sensitivity by providing a focus on the thermocouple, and also gives better immunity to gas conduction and convection.

Classes IPC  ?

  • G01J 5/12 - Pyrométrie des radiations, p.ex. thermométrie infrarouge ou optique en utilisant des détecteurs électriques de radiations en utilisant des éléments thermoélectriques, p.ex. des thermocouples

14.

IMPEDANCE CONTROLLED ELECTRICAL INTERCONNECTION EMPLOYING META-MATERIALS

      
Numéro d'application IB2008053390
Numéro de publication 2010/020836
Statut Délivré - en vigueur
Date de dépôt 2008-08-22
Date de publication 2010-02-25
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD (Taïwan, Province de Chine)
Inventeur(s) Wyland, Christopher

Abrégé

A method of improving electrical interconnections between two electrical elements (510,550) is made available by providing a meta-material overlay (700) in conjunction with the electrical interconnection (530) The meta-material overlay is designed to make the electrical signal propagating via the electrical interconnection to act as though the permittivity and permeability of the dielectric medium within which the electrical interconnection is formed are different than the real component permittivity and permeability of the dielectric medium surrounding the electrical interconnection. In some instances the permittivity and permeability resulting from the meta-material cause the signal to propagate as if the permittivity and permeability have negative values. Accordingly the method provides for electrical interconnections possessing enhanced control and stability of impedance, reduced noise, and reduced loss. Alternative embodiments of the meta- material overlay provide, the enhancements for conventional discrete wire bonds whilst also facilitating single integrated designs compatible with tape implementation.

Classes IPC  ?

  • H01L 23/66 - Adaptations pour la haute fréquence
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

15.

FABRICATION OF COMPACT OPTO-ELECTRONIC COMPONENT PACKAGES

      
Numéro d'application EP2009057711
Numéro de publication 2009/156354
Statut Délivré - en vigueur
Date de dépôt 2009-06-22
Date de publication 2009-12-30
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, Limited (Taïwan, Province de Chine)
Inventeur(s) Kuhmann, Jochen

Abrégé

A wafer-level method of fabricating an opto-electronic component package, in which the opto-electronic component is mounted to a semiconductor wafer (175) having first and second surfaces (118, 119) on opposite sides of the wafer. The method includes etching vias (122) in the first surface (118) of the semiconductor wafer. The first surface and surfaces in the vias are metallized, and the metal is structured to define a thermal pad (124) and to define the anode and cathode contact pads (126). A carrier wafer (130) is attached on the side of the semiconductor wafer having the first surface (118), and the semiconductor wafer is thinned from its second surface (119) to expose the metallization in the vias. Metal is provided on the second surface, and the metal is structured to define a die attach pad (HOa) and additional anode and cathode pads (HOb) for the opto-electronic component (108). The opto-electronic component is mounted on the die attach pad and a protective cover is formed over the opto-electronic component.

Classes IPC  ?

  • H01L 33/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails

16.

METHOD OF FORMING A NANOCLUSTER-COMPRISING DIELECTRIC LAYER AND DEVICE COMPRISING SUCH A LAYER

      
Numéro d'application IB2009051656
Numéro de publication 2009/133500
Statut Délivré - en vigueur
Date de dépôt 2009-04-22
Date de publication 2009-11-05
Propriétaire TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Kochupurackal, Jinesh,, B., P.
  • Besling, Wim
  • Klootwijk, Johan, H.
  • Wolters, Robertus, A., M.
  • Roozeboom, Freddy

Abrégé

A method of forming a dielectric layer (330) on a further layer (114, 320) of a semiconductor device (300) is disclosed. The method comprises depositing a dielectric precursor compound and a further precursor compound over the further layer (114, 320), the dielectric precursor compound comprising a metal ion from the group consisting of Yttrium and the Lanthanide series elements, and the further precursor compound comprising a metal ion from the group consisting of group IV and group V metals; and chemically converting the dielectric precursor compound and the further precursor compound into a dielectric compound and a further compound respectively, the further compound self-assembling during said conversion into a plurality of nanocluster nuclei (335) within the dielectric layer (330) formed from the first dielectric precursor compound. The nanoclusters may be dielectric or metallicinnature. Consequently, a dielectric layer is formed that has excellent charge trapping capabilities. Such a dielectric layer is particularly suitable for use in semiconductor devices such as non-volatile memories.

Classes IPC  ?

  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • C23C 14/08 - Oxydes
  • C23C 16/40 - Oxydes
  • H01L 21/314 - Couches inorganiques
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/51 - Matériaux isolants associés à ces électrodes

17.

A METHOD FOR PRODUCING A COPPER CONTACT

      
Numéro d'application EP2008058346
Numéro de publication 2009/003972
Statut Délivré - en vigueur
Date de dépôt 2008-06-29
Date de publication 2009-01-08
Propriétaire
  • INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM (IMEC) (Belgique)
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. (Taïwan, Province de Chine)
Inventeur(s)
  • Liu, Chung-Shi
  • Beyer, Gerald
  • Demuynck, Steven
  • Tokei, Zsolt
  • Palmans, Roger
  • Zhao, Chao
  • Chen-Hua, Yu

Abrégé

The present invention is related toa method for producing a contact (4) through the pre-metal dielectric (PMD) layer (6) of an integrated circuit, between the Front End of Line and the Back End of Line, wherein said PMD layer comprises oxygen, said method comprising the steps of : -producing a hole in the PMD, -depositing a conductive barrier layer (3) at the bottom of the hole, -depositing a CuMn alloy on the bottom and side walls of the hole, -filling the remaining portion of the hole with Cu (4), -performing an anneal step, to form a barrier (5) on the side walls of the hole, said barrier comprising an oxide comprising Mn, -performing a CMP step. The invention is equally related to a device which can be produced by the method of the invention.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires