Globalfoundries Inc.

Îles Caïmanes

Retour au propriétaire

1-70 de 70 pour Globalfoundries Inc. Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Excluant les filiales
Affiner par Reset Report
Date
2021 5
2020 3
2019 10
Avant 2019 52
Classe IPC
H01L 29/66 - Types de dispositifs semi-conducteurs 15
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif 14
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée 11
H01L 21/336 - Transistors à effet de champ à grille isolée 9
H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées 8
Voir plus
Statut
En Instance 2
Enregistré / En vigueur 68
Résultats pour  brevets

1.

Gate structures

      
Numéro d'application 17404499
Numéro de brevet 11908917
Statut Délivré - en vigueur
Date de dépôt 2021-08-17
Date de la première publication 2021-12-02
Date d'octroi 2024-02-20
Propriétaire GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Shu, Jiehui
  • Gu, Sipeng
  • Wang, Haiting

Abrégé

The present disclosure generally relates to semiconductor structures and, more particularly, to gate structures and methods of manufacture. The method includes: forming a first gate structure and a second gate structure with gate materials; etching the gate materials within the second gate structure to form a trench; and depositing a conductive material within the trench so that the second gate structure has a metal composition different than the first gate structure.

Classes IPC  ?

  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/40 - Electrodes

2.

Tight pitch wirings and capacitor(s)

      
Numéro d'application 17118876
Numéro de brevet 11380615
Statut Délivré - en vigueur
Date de dépôt 2020-12-11
Date de la première publication 2021-05-06
Date d'octroi 2022-07-05
Propriétaire GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Stamper, Anthony K.
  • Vaughn, Daisy A.
  • Bosley, Stephen R.
  • He, Zhong-Xiang

Abrégé

The present disclosure relates to semiconductor structures and, more particularly, to tight pitch wirings and capacitors and methods of manufacture. The structure includes: a capacitor including: a bottom plate of a first conductive material; an insulator material on the bottom plate; and a top plate of a second conductive material on the insulator material; and a plurality of wirings on a same level as the bottom plate and composed of the second conductive material.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 27/08 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type
  • H01L 49/02 - Dispositifs à film mince ou à film épais
  • H01G 4/33 - Condensateurs à film mince ou à film épais
  • H01G 4/08 - Diélectriques inorganiques
  • H01G 4/232 - Bornes pour la connexion électrique d'au moins deux couches d'un condensateur à empilement ou à enroulement
  • H01L 23/528 - Configuration de la structure d'interconnexion

3.

Diode structures

      
Numéro d'application 17097425
Numéro de brevet 11508810
Statut Délivré - en vigueur
Date de dépôt 2020-11-13
Date de la première publication 2021-03-04
Date d'octroi 2022-11-22
Propriétaire GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Singh, Jagar
  • Mishra, Shiv Kumar

Abrégé

The present disclosure relates to semiconductor structures and, more particularly, to high voltage diode structures and methods of manufacture. The structure includes: a diode structure composed of first well of a first dopant type in a substrate; and a well ring structure of the first dopant type in the substrate which completely surrounds the first well of the first dopant type, and spaced a distance “x” from the first well to cut a leakage path to a shallower second well of a second dopant type.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/872 - Diodes Schottky
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive

4.

Dual thickness fuse structures

      
Numéro d'application 17097432
Numéro de brevet 11749599
Statut Délivré - en vigueur
Date de dépôt 2020-11-13
Date de la première publication 2021-03-04
Date d'octroi 2023-09-05
Propriétaire GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Pekarik, John J.
  • Stamper, Anthony K.
  • Jain, Vibhor

Abrégé

The present disclosure relates to semiconductor structures and, more particularly, to dual thickness fuse structures and methods of manufacture. The structure includes a continuous wiring structure on a single wiring level and composed of conductive material having a fuse portion and a thicker wiring structure.

Classes IPC  ?

  • H01L 23/52 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre
  • H01L 23/525 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées avec des interconnexions modifiables
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/62 - Protection contre l'excès de courant ou la surcharge, p.ex. fusibles, shunts

5.

Metal on metal multiple patterning

      
Numéro d'application 17023853
Numéro de brevet 11398378
Statut Délivré - en vigueur
Date de dépôt 2020-09-17
Date de la première publication 2021-01-07
Date d'octroi 2022-07-26
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Chen, Hsueh-Chung
  • Srivastava, Ravi P.
  • Ghosh, Somnath
  • Licausi, Nicholas V.
  • Spooner, Terry A.
  • Reidy, Sean

Abrégé

The present disclosure relates to a structure which includes a first metal layer patterned as a mandrel, a dielectric spacer on the first metal layer, and a second metal layer on the dielectric spacer.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

6.

Line end structures for semiconductor devices

      
Numéro d'application 16393973
Numéro de brevet 10770392
Statut Délivré - en vigueur
Date de dépôt 2019-04-25
Date de la première publication 2020-09-08
Date d'octroi 2020-09-08
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Licausi, Nicholas V.
  • Law, Shao Beng

Abrégé

A method of fabricating a semiconductor device structure comprising depositing a layer of material on a dielectric stack and patterning the layer of material to form a hard mask, depositing a metal layer covering the hard mask to form a metal hard mask, forming vias in the dielectric stack using the metal hard mask, removing the metal hard mask, and forming trenches in the dielectric stack using the hard mask, wherein the hard mask and the metal hard mask are used to define a line end structure separating the trenches.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

7.

Forming replacement low-k spacer in tight pitch fin field effect transistors

      
Numéro d'application 16743293
Numéro de brevet 11374111
Statut Délivré - en vigueur
Date de dépôt 2020-01-15
Date de la première publication 2020-05-14
Date d'octroi 2022-06-28
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
  • STMICROELECTRONICS, INC. (USA)
Inventeur(s)
  • Cai, Xiuyu
  • Yeh, Chun-Chen
  • Liu, Qing
  • Xie, Ruilong

Abrégé

A semiconductor device that a fin structure, and a gate structure present on a channel region of the fin structure. A composite spacer is present on a sidewall of the gate structure including an upper portion having a first dielectric constant, a lower portion having a second dielectric constant that is less than the first dielectric constant, and an etch barrier layer between sidewalls of the first and second portion of the composite spacer and the gate structure. The etch barrier layer may include an alloy including at least one of silicon, boron and carbon.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/161 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée comprenant plusieurs des éléments prévus en
  • H01L 29/165 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée comprenant plusieurs des éléments prévus en dans différentes régions semi-conductrices

8.

Dual metal-insulator-semiconductor contact structure and formulation method

      
Numéro d'application 16668409
Numéro de brevet 10833019
Statut Délivré - en vigueur
Date de dépôt 2019-10-30
Date de la première publication 2020-02-27
Date d'octroi 2020-11-10
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES, INC. (Îles Caïmanes)
Inventeur(s)
  • Ando, Takashi
  • Niimi, Hiroaki
  • Yamashita, Tenko

Abrégé

A method of making a semiconductor device includes forming a first source/drain trench and a second source/drain trench over a first and second source/drain region, respectively; forming a first silicon dioxide layer in the first source/drain trench and a second silicon dioxide layer in the second source/drain trench; forming a first source/drain contact over the first source/drain region, the first source/drain contact including a first tri-layer contact disposed between the first silicon dioxide layer and a first conductive material; and forming a second source/drain contact over the second source/drain region, the second source/drain contact including a second tri-layer contact disposed between the second silicon dioxide layer and a second conductive material; wherein the first tri-layer contact includes a first metal oxide layer in contact with the first silicon dioxide layer, and the second tri-layer contact includes a second metal oxide layer in contact with the second silicon dioxide layer.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 29/66 - Types de dispositifs semi-conducteurs

9.

Chamferless via structures

      
Numéro d'application 16503683
Numéro de brevet 10903118
Statut Délivré - en vigueur
Date de dépôt 2019-07-05
Date de la première publication 2019-10-31
Date d'octroi 2021-01-26
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Lenhardt, Mark L.
  • Mont, Frank W.
  • Peethala, Brown C.
  • Siddiqui, Shariq
  • Striss, Jessica P.
  • Trickett, Douglas M.

Abrégé

Chamferless via structures and methods of manufacture are provided. The method includes: forming at least one non-self-aligned via within at least dielectric material; plugging the at least one non-self-aligned via with material; forming a protective sacrificial mask over the material which plugs the at least one non-self-aligned via, after a recessing process; forming at least one trench within the dielectric material, with the protective sacrificial mask protecting the material during the trench formation; removing the protective sacrificial mask and the material within the at least one non-self-aligned via to form a wiring via; and filling the wiring via and the at least one trench with conductive material.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 21/311 - Gravure des couches isolantes

10.

Chamferless via structures

      
Numéro d'application 16503706
Numéro de brevet 10937694
Statut Délivré - en vigueur
Date de dépôt 2019-07-05
Date de la première publication 2019-10-31
Date d'octroi 2021-03-02
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Lenhardt, Mark L.
  • Mont, Frank W.
  • Peethala, Brown C.
  • Siddiqui, Shariq
  • Striss, Jessica P.
  • Trickett, Douglas M.

Abrégé

Chamferless via structures and methods of manufacture are provided. The method includes: forming at least one non-self-aligned via within at least dielectric material; plugging the at least one non-self-aligned via with material; forming a protective sacrificial mask over the material which plugs the at least one non-self-aligned via, after a recessing process; forming at least one trench within the dielectric material, with the protective sacrificial mask protecting the material during the trench formation; removing the protective sacrificial mask and the material within the at least one non-self-aligned via to form a wiring via; and filling the wiring via and the at least one trench with conductive material.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 21/311 - Gravure des couches isolantes

11.

ROV hot-stab with integrated sensor

      
Numéro d'application 16343981
Numéro de brevet 10774620
Statut Délivré - en vigueur
Date de dépôt 2016-10-24
Date de la première publication 2019-10-31
Date d'octroi 2020-09-15
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s) Johnson, Mark Alan

Abrégé

An ROV hot-stab device (100) comprising a hot stab body (102) having a flow bore (102A) that is adapted to receive a fluid, a housing (104) that is operatively coupled to the hot stab body (102), and at least one fluid inlet/outlet (104A/104B) defined in the housing (104). The device (100) also includes an isolation valve (103) that is at least partially positioned within the housing (104) wherein the isolation valve (103) is adapted to, when actuated, establish fluid communication between the bore (102A) of the hot stab body (102) and the at least one fluid inlet/outlet (104A/104B) and at least one sensor (114) positioned at least partially within the housing (104) wherein the sensor (114) is adapted to sense a parameter of the fluid.

Classes IPC  ?

  • E21B 41/04 - Manipulateurs pour opérations sous l'eau, p.ex. reliés temporairement aux têtes de puits
  • E21B 34/06 - Aménagements des vannes pour les trous de forage ou pour les puits dans les puits
  • E21B 33/035 - Têtes de puits; Leur mise en place spécialement adaptées aux installations sous l'eau
  • E21B 34/04 - Aménagements des vannes pour les trous de forage ou pour les puits dans les têtes de puits dans les têtes de puits situées sous l'eau
  • E21B 47/10 - Localisation des fuites, intrusions ou mouvements du fluide
  • E21B 34/00 - Aménagements des vannes pour les trous de forage ou pour les puits

12.

Semiconductor device with transistor local interconnects

      
Numéro d'application 16502521
Numéro de brevet 10833018
Statut Délivré - en vigueur
Date de dépôt 2019-07-03
Date de la première publication 2019-10-24
Date d'octroi 2020-11-10
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Rashed, Mahbub
  • Lin, Irene Y.
  • Soss, Steven
  • Kim, Jeff
  • Nguyen, Chinh
  • Tarabbia, Marc
  • Johnson, Scott
  • Kengeri, Subramani
  • Venkatesan, Suresh

Abrégé

A semiconductor device includes a substrate with first and second transistors disposed thereon and including sources, drains, and gates, wherein the first and second gates extend longitudinally as part of linear strips that are parallel to and spaced apart. The device further includes a first CB layer forming a local interconnect electrically connected to the first gate, a second CB layer forming a local interconnect electrically connected to the second gate, and a CA layer forming a local interconnect extending longitudinally between first and second ends of the CA layer. The first and second CB layers and the CA layer are disposed between a first metal layer and the substrate. The first metal layer is disposed above each source, drain, and gate of the transistors, The CA layer extends parallel to the first and second linear strips and is substantially perpendicular to the first and second CB layers.

Classes IPC  ?

  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 21/8234 - Technologie MIS
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 27/118 - Circuits intégrés à tranche maîtresse

13.

Vertical-transport field-effect transistors with self-aligned contacts

      
Numéro d'application 15947991
Numéro de brevet 10797138
Statut Délivré - en vigueur
Date de dépôt 2018-04-09
Date de la première publication 2019-10-10
Date d'octroi 2020-10-06
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Bourjot, Emilie
  • Chanemougame, Daniel
  • Bentley, Steven

Abrégé

Methods of forming contacts for vertical-transport field-effect transistors and structures for a vertical-transport field-effect transistor and contact. An interlayer dielectric layer is deposited over a gate stack, and a first opening is formed in the interlayer dielectric layer and penetrates through the gate stack to cut the gate stack into a first section and a second section. A dielectric pillar is formed in the first opening and is arranged between the first section of the gate stack and the second section of the gate stack. Second and third openings are formed in the interlayer dielectric layer that penetrate to the gate stack and that are divided by the dielectric pillar. A first contact in the second opening is coupled with the first section of the gate stack, and a second contact in the third opening is coupled with the second section of the gate stack.

Classes IPC  ?

  • H01L 29/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails des corps semi-conducteurs ou de leurs électrodes
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

14.

Shielded MRAM cell

      
Numéro d'application 15874077
Numéro de brevet 10439129
Statut Délivré - en vigueur
Date de dépôt 2018-01-18
Date de la première publication 2019-07-18
Date d'octroi 2019-10-08
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Houssameddine, Dimitri
  • Wang, Chenchen Jacob
  • Liu, Bin
  • Siah, Soh Yun

Abrégé

One illustrative integrated circuit (IC) product disclosed herein includes an MRAM cell, the MRAM cell having an outer perimeter, wherein the MRAM cell comprises a bottom electrode, a top electrode and an MTJ (Magnetic Tunnel Junction) element positioned above the bottom electrode and below the top electrode. In this example, the IC product also includes an insulating material positioned around the outer perimeter of the MRAM cell and a conductive sidewall spacer comprised of a metal-containing shielding material positioned around the outer perimeter of the MRAM cell, wherein the insulating material is positioned between the conductive sidewall spacer and the MRAM cell.

Classes IPC  ?

  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails
  • H01L 23/552 - Protection contre les radiations, p.ex. la lumière
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues

15.

Methods of shielding an embedded MRAM array on an integrated circuit product comprising CMOS based transistors

      
Numéro d'application 15874205
Numéro de brevet 10374154
Statut Délivré - en vigueur
Date de dépôt 2018-01-18
Date de la première publication 2019-07-18
Date d'octroi 2019-08-06
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Houssameddine, Dimitri
  • Wang, Chenchen Jacob
  • Liu, Bin

Abrégé

One illustrative method disclosed herein includes forming an MRAM memory array and a plurality of peripheral circuits for an integrated circuit product above a semiconductor substrate, forming a patterned layer of a metal-containing shielding material above the substrate, the patterned layer of metal-containing shielding material covering the MRAM memory array while leaving an area above the plurality of peripheral circuits exposed, and, with the patterned layer of metal-containing shielding material in position, performing a silicon dangling bond passivation anneal process on the integrated circuit product.

Classes IPC  ?

  • H01L 21/30 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 43/08 - Résistances commandées par un champ magnétique

16.

Low resistance source drain contact formation with trench metastable alloys and laser annealing

      
Numéro d'application 16265784
Numéro de brevet 11562906
Statut Délivré - en vigueur
Date de dépôt 2019-02-01
Date de la première publication 2019-06-13
Date d'octroi 2023-01-24
Propriétaire
  • International Business Machines Corporation (USA)
  • GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Gluschenkov, Oleg
  • Liu, Zuoguang
  • Mochizuki, Shogo
  • Niimi, Hiroaki
  • Yamashita, Tenko
  • Yeh, Chun-Chen

Abrégé

3). Transistor devices are also provided utilizing the present P-doped Si source and drain contacts.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/24 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des matériaux semi-conducteurs inorganiques non couverts par les groupes , ,  ou
  • H01L 29/267 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, des éléments couverts par plusieurs des groupes , , , , dans différentes régions semi-conductrices
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

17.

Semiconductor devices having equal thickness gate spacers

      
Numéro d'application 16216356
Numéro de brevet 10763342
Statut Délivré - en vigueur
Date de dépôt 2018-12-11
Date de la première publication 2019-04-25
Date d'octroi 2020-09-01
Propriétaire
  • Interanational Business Machines Corporation (USA)
  • GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Chi, Cheng
  • Xie, Ruilong

Abrégé

A method is presented for forming equal thickness gate spacers for a CMOS (complementary metal oxide semiconductor) device, the method includes forming a PFET (p-type field effect transistor) device and an NFET (n-type field effect transistor) device each including gate masks formed over dummy gates, forming PFET epi growth regions between the dummy gates of the PFET device, forming NFET epi growth regions between the dummy gates of the NFET device, depositing a nitride liner and an oxide over the PFET and NFET epi growth regions, the nitride liner and oxide extending up to the gate masks, and removing the dummy gates and the gate masks to form HKMGs (high-k metal gates) between the PFET and NFET epi growth regions.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/3105 - Post-traitement
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/8234 - Technologie MIS

18.

Chamfering for stress reduction on passivation layer

      
Numéro d'application 15719861
Numéro de brevet 10170439
Statut Délivré - en vigueur
Date de dépôt 2017-09-29
Date de la première publication 2019-01-01
Date d'octroi 2019-01-01
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Khor, Ee Jan
  • Tan, Juan Boon
  • Yi, Wanbing
  • Chockalingam, Ramasamy
  • Chen, Qian
  • Mulia, Suleni Tunggal
  • Hu, Yongmei

Abrégé

Devices are formed to have inner layers that have electronic devices, and an outer passivation layer. A patterned conductor is formed on a first surface of the inner layers, and through conductors (that extend through interior insulator layers) are positioned to electrically connect the patterned conductor to the electronic devices. The patterned conductor includes a pattern of connected linear sections that are parallel to the first surface of the inner layers. The linear sections of the patterned conductor meet at conductor corners, and at least one of the conductor corners of the patterned conductor includes a chamfer side that terminates at the linear sections. Further, the chamfer side is not perfectly diagonal, but instead forms unequal angles with the linear sections that intersect to form the corner.

Classes IPC  ?

  • H01L 23/52 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/482 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées

19.

Trench silicide contacts with high selectivity process

      
Numéro d'application 15847186
Numéro de brevet 10985260
Statut Délivré - en vigueur
Date de dépôt 2017-12-19
Date de la première publication 2018-04-19
Date d'octroi 2021-04-20
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Greene, Andrew M.
  • Pranatharthiharan, Balasubramanian
  • Xie, Ruilong

Abrégé

A method for forming self-aligned contacts includes patterning a mask between fin regions of a semiconductor device, etching a cut region through a first dielectric layer between the fin regions down to a substrate and filling the cut region with a first material, which is selectively etchable relative to the first dielectric layer. The first dielectric layer is isotropically etched to reveal source and drain regions in the fin regions to form trenches in the first material where the source and drain regions are accessible. The isotropic etching is super selective to remove the first dielectric layer relative to the first material and relative to gate structures disposed between the source and drain regions. Metal is deposited in the trenches to form silicide contacts to the source and drain regions.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/8234 - Technologie MIS
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus

20.

FinFETs with air-gap spacers and methods for forming the same

      
Numéro d'application 15220990
Numéro de brevet 09831346
Statut Délivré - en vigueur
Date de dépôt 2016-07-27
Date de la première publication 2017-11-28
Date d'octroi 2017-11-28
Propriétaire GLOBALFOUNDRIES, INC. (Îles Caïmanes)
Inventeur(s)
  • Zang, Hui
  • Chi, Min-Hwa

Abrégé

Fin field effect transistors (FinFETs) include air-gaps between adjacent metal contacts and/or between metal contacts and the transistor gate. The air-gaps are formed during non-conformal deposition of an isolation dielectric in conjunction with a metal-first process to form the conductive structures.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

21.

Integrated circuit structure with refractory metal alignment marker and methods of forming same

      
Numéro d'application 15384741
Numéro de brevet 09806032
Statut Délivré - en vigueur
Date de dépôt 2016-12-20
Date de la première publication 2017-10-31
Date d'octroi 2017-10-31
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Lin, Wei
  • He, Nailong
  • Singh, Upinder

Abrégé

The disclosure relates to integrated circuit (IC) structures and fabrication techniques. Methods according to the disclosure can include: providing a precursor structure including: a first inter-metal dielectric (IMD); a barrier dielectric positioned on the first IMD; forming an insulator on the barrier dielectric of the precursor structure, wherein an upper surface of the insulator includes a first trench and a second trench laterally separated from the first trench; forming an alignment marker over the precursor structure by filling the first trench with a first refractory metal film; forming a first metal-insulator-metal (MIM) electrode by filling the second trench with the first refractory metal film; recessing the insulator without exposing an upper surface of the barrier dielectric; forming a MIM dielectric layer on the insulator; and forming a second MIM electrode on the MIM dielectric layer, such that the second MIM electrode overlies a portion of the first MIM electrode.

Classes IPC  ?

  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 49/02 - Dispositifs à film mince ou à film épais
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive

22.

Gate cut with high selectivity to preserve interlevel dielectric layer

      
Numéro d'application 15484173
Numéro de brevet 10957544
Statut Délivré - en vigueur
Date de dépôt 2017-04-11
Date de la première publication 2017-08-03
Date d'octroi 2021-03-23
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Greene, Andrew M.
  • Jung, Ryan O.
  • Xie, Ruilong

Abrégé

A method for preserving interlevel dielectric in a gate cut region includes recessing a dielectric fill to expose cap layers of gate structures formed in a device region and in a cut region and forming a liner in the recess on top of the recessed dielectric fill. The liner includes a material to provide etch selectivity to protect the dielectric fill. The gate structures in the cut region are recessed to form a gate recess using the liner to protect the dielectric fill from etching. A gate material is removed from within the gate structure using the liner to protect the dielectric fill from etching. A dielectric gap fill is formed to replace the gate material and to fill the gate recess in the cut region.

Classes IPC  ?

  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/3105 - Post-traitement

23.

Replacement low-k spacer

      
Numéro d'application 15462657
Numéro de brevet 09892926
Statut Délivré - en vigueur
Date de dépôt 2017-03-17
Date de la première publication 2017-07-06
Date d'octroi 2018-02-13
Propriétaire
  • International Business Machines Corporation (USA)
  • GlobalFoundries Inc. (Îles Caïmanes)
Inventeur(s)
  • Cai, Xiuyu
  • Cheng, Kangguo
  • Khakifirooz, Ali
  • Xie, Ruilong

Abrégé

Forming a semiconductor structure includes forming a dummy gate stack on a substrate including a sacrificial spacer on the peripheral of the dummy gate stack. The dummy gate stack is partially recessed. The sacrificial spacer is etched down to the partially recessed dummy gate stack. Remaining portions of the sacrificial spacer are etched leaving gaps on sides of a remaining portion of the dummy gate stack. A first low-k spacer portion and a second low-k spacer portion are formed to fill gaps around the remaining portions of the dummy gate stack and extending vertically along a sidewall of a dummy gate cavity. The first and second low-k spacer portions are etched. A poly pull process is performed on the remaining portions of the dummy gate stack. A replacement metal gate (RMG) structure is formed with the first low-k spacer portion and the second low-k spacer portion.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes

24.

Self aligned gate shape preventing void formation

      
Numéro d'application 15359953
Numéro de brevet 10505016
Statut Délivré - en vigueur
Date de dépôt 2016-11-23
Date de la première publication 2017-06-22
Date d'octroi 2019-12-10
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
  • STMICROELECTRONICS, INC. (USA)
Inventeur(s)
  • Greene, Andrew M.
  • Liu, Qing
  • Xie, Ruilong
  • Yeh, Chun-Chen

Abrégé

A semiconductor device that includes a first fin structure in a first portion of a substrate, and a second fin structure in a second portion of the substrate, wherein the first portion of the substrate is separated from the second portion of the substrate by at least one isolation region. A gate structure present extending from the first fin structure across the isolation region to the second fin structure. The gate structure including a first portion on the first fin structure including a first work function metal having at least one void, an isolation portion that is voidless present overlying the isolation region, and a second portion on the second fin structure including a second work function metal.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 21/762 - Régions diélectriques
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

25.

Trench silicide contacts with high selectivity process

      
Numéro d'application 15276060
Numéro de brevet 10818773
Statut Délivré - en vigueur
Date de dépôt 2016-09-26
Date de la première publication 2017-05-04
Date d'octroi 2020-10-27
Propriétaire
  • International Business Machines Corporation (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Greene, Andrew M.
  • Pranatharthiharan, Balasubramanian
  • Xie, Ruilong

Abrégé

A method for forming self-aligned contacts includes patterning a mask between fin regions of a semiconductor device, etching a cut region through a first dielectric layer between the fin regions down to a substrate and filling the cut region with a first material, which is selectively etchable relative to the first dielectric layer. The first dielectric layer is isotropically etched to reveal source and drain regions in the fin regions to form trenches in the first material where the source and drain regions are accessible. The isotropic etching is super selective to remove the first dielectric layer relative to the first material and relative to gate structures disposed between the source and drain regions. Metal is deposited in the trenches to form silicide contacts to the source and drain regions.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/8234 - Technologie MIS
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus

26.

Trench silicide contacts with high selectivity process

      
Numéro d'application 15190778
Numéro de brevet 10797154
Statut Délivré - en vigueur
Date de dépôt 2016-06-23
Date de la première publication 2017-05-04
Date d'octroi 2020-10-06
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Greene, Andrew M.
  • Pranatharthiharan, Balasubramanian
  • Xie, Ruilong

Abrégé

A method for forming self-aligned contacts includes patterning a mask between fin regions of a semiconductor device, etching a cut region through a first dielectric layer between the fin regions down to a substrate and filling the cut region with a first material, which is selectively etchable relative to the first dielectric layer. The first dielectric layer is isotropically etched to reveal source and drain regions in the fin regions to form trenches in the first material where the source and drain regions are accessible. The isotropic etching is super selective to remove the first dielectric layer relative to the first material and relative to gate structures disposed between the source and drain regions. Metal is deposited in the trenches to form silicide contacts to the source and drain regions.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/8234 - Technologie MIS
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus

27.

Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling

      
Numéro d'application 15343776
Numéro de brevet 10388754
Statut Délivré - en vigueur
Date de dépôt 2016-11-04
Date de la première publication 2017-04-27
Date d'octroi 2019-08-20
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES, INC. (Îles Caïmanes)
Inventeur(s)
  • Cai, Xiuyu
  • Cheng, Kangguo
  • Khakifirooz, Ali
  • Xie, Ruilong
  • Yamashita, Tenko

Abrégé

Semiconductor devices and methods for making the same includes conformally forming a first spacer on multiple fins. A second spacer is conformally formed on the first spacer, the second spacer being formed from a different material from the first spacer. The fins are etched below a bottom level of the first spacer to form a fin cavity. Material from the first spacer is removed to expand the fin cavity. Fin material is grown directly on the etched fins to fill the fin cavity.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/3105 - Post-traitement
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée

28.

Detecting, assessing and managing a risk of death in epilepsy

      
Numéro d'application 14026998
Numéro de brevet 09504390
Statut Délivré - en vigueur
Date de dépôt 2013-09-13
Date de la première publication 2016-05-12
Date d'octroi 2016-11-29
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s) Osorio, Ivan

Abrégé

A method includes receiving cardiac data and determining a cardiac index based upon the cardiac data; determining an increased risk of death associated with epilepsy if the indices are extreme, issuing a warning of the increased risk of death and logging information related to the increased risk of death. A second method comprises receiving at least one of arousal data, responsiveness data or awareness data and determining an arousal index, a responsiveness index or an awareness index, where the indices are based on arousal data, responsiveness data or awareness data respectively; determining an increased risk of death related to epilepsy if indices are extreme values, issuing a warning of the increased risk of death and logging information related to the increased risk of death. A non-transitory computer readable program storage device encoded with instructions that, when executed by a computer, perform a method is also provided.

Classes IPC  ?

  • A61B 5/02 - Mesure du pouls, du rythme cardiaque, de la pression sanguine ou du débit sanguin; Détermination combinée du pouls, du rythme cardiaque, de la pression sanguine; Evaluation d'un état cardio-vasculaire non prévue ailleurs, p.ex. utilisant la combinaison de techniques prévues dans le présent groupe et des techniques d'électrocardiographie; Sondes cardiaques pour mesurer la pression sanguine
  • A61B 5/0205 - Evaluation simultanée de l'état cardio-vasculaire et de l'état d'autres parties du corps, p.ex. de l'état cardiaque et respiratoire
  • A61B 5/08 - Dispositifs de mesure pour examiner les organes respiratoires
  • A61B 5/021 - Mesure de la pression dans le cœur ou dans les vaisseaux sanguins
  • A61B 5/0245 - Mesure du pouls ou des pulsations cardiaques utilisant des capteurs engendrant des signaux électriques
  • A61B 5/00 - Mesure servant à établir un diagnostic ; Identification des individus
  • A61B 5/11 - Mesure du mouvement du corps entier ou de parties de celui-ci, p.ex. tremblement de la tête ou des mains ou mobilité d'un membre
  • A61N 1/39 - Défibrillateurs cardiaques
  • A61N 1/36 - Application de courants électriques par électrodes de contact courants alternatifs ou intermittents pour stimuler, p.ex. stimulateurs cardiaques
  • A61N 1/365 - Stimulateurs cardiaques commandés par un paramètre physiologique, p.ex. par le potentiel cardiaque
  • A61B 5/0452 - Détection de paramètres spécifiques du cycle de l'électrocardiogramme
  • A61B 5/16 - Dispositifs pour la psychotechnie; Test des temps de réaction
  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)
  • A61N 1/372 - Aménagements en relation avec l'implantation des stimulateurs

29.

E-fuse structure with methods of fusing the same and monitoring material leakage

      
Numéro d'application 14876011
Numéro de brevet 09337144
Statut Délivré - en vigueur
Date de dépôt 2015-10-06
Date de la première publication 2016-01-28
Date d'octroi 2016-05-10
Propriétaire
  • Samsung Electronics Co., LTD. (République de Corée)
  • International Business Machines Corporation (USA)
  • GlobalFoundries Inc. (Îles Caïmanes)
Inventeur(s)
  • Kwon, O Sung
  • Moy, Dan
  • Son, Kihwang
  • Zhang, Xiaoqiang

Abrégé

The present disclosure generally provides for an e-fuse structure and corresponding method for fusing the same and monitoring material leakage. The e-fuse structure can include a metal dummy structure and an electrical fuse link substantially aligned with a portion of the metal dummy structure, wherein the metal dummy structure cools at least part of the electrical fuse link in response to an electric current passing through the electrical fuse link.

Classes IPC  ?

  • H01L 23/525 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées avec des interconnexions modifiables
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 21/321 - Post-traitement
  • H01L 23/367 - Refroidissement facilité par la forme du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

30.

Low-bandwidth time-embargoed content disclosure

      
Numéro d'application 14057741
Numéro de brevet 09559840
Statut Délivré - en vigueur
Date de dépôt 2013-10-18
Date de la première publication 2015-04-23
Date d'octroi 2017-01-31
Propriétaire GlobalFoundries Inc. (Îles Caïmanes)
Inventeur(s)
  • Ponceleon, Dulce B.
  • Geagan, Iii, John Bernard

Abrégé

Embodiments of the present invention relate to time delayed release of previously distributed digital content. In one embodiment, a method of and computer program product for low-bandwidth time-embargoed content disclosure are provided. A first cryptographic key is received. Encrypted content is received, encoded in a computer readable medium. A correction value is received. A predetermined function is applied to the first cryptographic key and the correction value to determine a second cryptographic key. The second cryptographic key is applied to the encrypted content to obtain decrypted content.

Classes IPC  ?

  • G06F 21/00 - Dispositions de sécurité pour protéger les calculateurs, leurs composants, les programmes ou les données contre une activité non autorisée
  • H04L 9/08 - Répartition de clés

31.

Replacement metal gate structure for CMOS device

      
Numéro d'application 14500914
Numéro de brevet 09041118
Statut Délivré - en vigueur
Date de dépôt 2014-09-29
Date de la première publication 2015-02-26
Date d'octroi 2015-05-26
Propriétaire
  • International Business Machines Corporation (USA)
  • Global Foundries, Inc (Îles Caïmanes)
Inventeur(s)
  • Ando, Takashi
  • Choi, Kisik
  • Narayanan, Vijay

Abrégé

A CMOS device that includes an nFET portion, a pFET portion and an interlayer dielectric between the nFET portion and pFET portion. The nFET portion has a gate structure having a recess filled with a conformal high-k dielectric, a first titanium nitride layer on the high-k dielectric, a barrier layer on the first titanium nitride layer, a second titanium nitride layer in direct physical contact with the barrier layer and a gate metal filling the remainder of the recess. The pFET portion has a gate structure having a recess filled with a conformal high-k dielectric, a first titanium nitride layer on the high-k dielectric, a barrier layer on the first titanium nitride layer, a second titanium nitride layer on the barrier layer, a third titanium nitride layer in direct physical contact with the second titanium nitride layer and a gate metal filling the remainder of the recess.

Classes IPC  ?

  • H01L 21/70 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun, ou de parties constitutives spécifiques de ceux-ci; Fabrication de dispositifs à circuit intégré ou de parties constitutives spécifiques de ceux-ci
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter

32.

REVERSE EVENT SIGNATURE FOR IDENTIFYING HIT AND RUN VEHICLES

      
Numéro d'application 13938344
Statut En instance
Date de dépôt 2013-07-10
Date de la première publication 2015-01-15
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Baughman, Aaron K.
  • Ferre, Wilfredo
  • Malkin, Peter K.
  • Yvon, Marc P.

Abrégé

Identifying a vehicle involved in a hit-and-run accident may comprise generating a damage signature associated with a first vehicle that is left behind with collision damage in a hit-and-run accident. A reverse event signature may be generated that indicates a position of impact and severity of damage associated with a second vehicle involved in the hit-and-run accident that fled a scene of the hit-and-run accident. The generating of the reverse event signature may be based on reverse engineering the damage signature associated with the first vehicle.

Classes IPC  ?

33.

Pooling entropy to facilitate mobile device-based true random number generation

      
Numéro d'application 13916655
Numéro de brevet 09449197
Statut Délivré - en vigueur
Date de dépôt 2013-06-13
Date de la première publication 2014-12-18
Date d'octroi 2016-09-20
Propriétaire GLOBAL FOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Green, Matthew John
  • Mclean, Leigh Stuart
  • Waltenberg, Peter Theodore

Abrégé

A mobile device operating system pools any available entropy. The resulting entropy pool is stored in device memory. When storing entropy in memory, preferably memory addresses are randomly allocated to prevent an attacker from capturing entropy that might have already been used to create a random number. The stored entropy pool provides a readily-available entropy source for any entropy required by the operating system or device applications. Then, when a cryptographic application requests a true random number, the operating system checks to determine whether the pool has available entropy and, if so, a portion of the entropy is provided to enable generation (e.g., by a TRNG) of a true random number that, in turn, may then be used for some cryptographic operation. After providing the entropy, the operating system clears the address locations that were used to provide it so that another entity cannot re-use the entropy.

Classes IPC  ?

  • G06F 21/72 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du calcul ou du traitement de l’information dans les circuits de cryptographie
  • G06F 7/58 - Générateurs de nombres aléatoires ou pseudo-aléatoires

34.

Hard mask removal during FinFET formation

      
Numéro d'application 13799508
Numéro de brevet 08895444
Statut Délivré - en vigueur
Date de dépôt 2013-03-13
Date de la première publication 2014-09-18
Date d'octroi 2014-11-25
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s) Wedlake, Michael D.

Abrégé

An approach for polishing-based hard mask removal during FinFET device formation is provided. In a typical embodiment, an initial device will be provided with a set of fins (e.g., silicon (Si)), a set of fin caps (e.g., silicon nitride (SiN)), and an oxide layer. A post-oxide planarizing and thinning polishing will first be performed (e.g., using a Silica-based slurry) to thin/reduce the oxide layer. A stop-on-nitride polishing will then be performed (e.g., using a Ceria-based slurry) to reduce the oxide layer to a top surface of the fin caps. Still yet, a stop-on-silicon polishing will be performed (e.g., using a Ceria-based slurry) to remove the set of fin caps and to reduce the oxide layer to a top surface to the set of fins.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/3105 - Post-traitement
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique

35.

Implant damage control by in-situ C doping during sige epitaxy for device applications

      
Numéro d'application 14182242
Numéro de brevet 08790980
Statut Délivré - en vigueur
Date de dépôt 2014-02-17
Date de la première publication 2014-06-12
Date d'octroi 2014-07-29
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Liu, Jin Ping
  • Holt, Jundson Robert

Abrégé

Some example embodiments of the invention comprise methods for and semiconductor structures comprised of: a MOS transistor comprised of source/drain regions, a gate dielectric, a gate electrode, channel region; a carbon doped SiGe region that applies a stress on the channel region whereby the carbon doped SiGe region retains stress/strain on the channel region after subsequent heat processing.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

36.

Inducing channel stress in semiconductor-on-insulator devices by base substrate oxidation

      
Numéro d'application 13692069
Numéro de brevet 08815694
Statut Délivré - en vigueur
Date de dépôt 2012-12-03
Date de la première publication 2014-06-05
Date d'octroi 2014-08-26
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Cheng, Kangguo
  • Doris, Bruce B.
  • Haran, Balasubramanian S.
  • Khakifirooz, Ali
  • Kerber, Pranita

Abrégé

Embodiments include semiconductor-on-insulator (SOI) substrates having SOI layers strained by oxidation of the base substrate layer and methods of forming the same. The method may include forming a strained channel region in a semiconductor-on-insulator (SOI) substrate including a buried insulator (BOX) layer above a base substrate layer and a SOI layer above the BOX layer by first etching the SOI layer and the BOX layer to form a first isolation recess region and a second isolation recess region. A portion of the SOI layer between the first isolation recess region and the second isolation recess region defines a channel region in the SOI layer. A portion of the base substrate layer below the first isolation recess region and below the second isolation recess region may then be oxidized to form a first oxide region and a second oxide region, respectively, that apply compressive strain to the channel region.

Classes IPC  ?

37.

Cross-coupling based design using diffusion contact structures

      
Numéro d'application 13561932
Numéro de brevet 08987128
Statut Délivré - en vigueur
Date de dépôt 2012-07-30
Date de la première publication 2014-01-30
Date d'octroi 2015-03-24
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Rashed, Mahbub
  • Tarabbia, Marc
  • Nguyen, Chinh
  • Doman, David
  • Kim, Juhan
  • Qi, Xiang
  • Venkatesan, Suresh

Abrégé

An approach for providing cross-coupling-based designs using diffusion contact structures is disclosed. Embodiments include providing first and second gate structures over a substrate; providing a first gate cut region across the first gate structure, and a second gate cut region across the second gate structure; providing a first gate contact over the first gate structure, and a second gate contact over the second gate structure; and providing a diffusion contact structure between the first and second gate cut regions to couple the first gate contact to the second gate contact.

Classes IPC  ?

  • H01L 21/44 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes
  • H01L 23/52 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre
  • H01L 29/40 - Electrodes
  • G06F 17/50 - Conception assistée par ordinateur
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • H01L 27/118 - Circuits intégrés à tranche maîtresse

38.

Recessing and capping of gate structures with varying metal compositions

      
Numéro d'application 13491857
Numéro de brevet 08679909
Statut Délivré - en vigueur
Date de dépôt 2012-06-08
Date de la première publication 2013-12-12
Date d'octroi 2014-03-25
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Xie, Ruilong
  • Horak, David V.
  • Fan, Su Chen
  • Balasubramanian, Pranatharthiharan Haran

Abrégé

A method for recessing and capping metal gate structures is disclosed. Embodiments include: forming a dummy gate electrode on a substrate; forming a hard mask over the dummy gate electrode; forming spacers on opposite sides of the dummy gate electrode and the hard mask; forming an interlayer dielectric (ILD) over the substrate adjacent the spacers; forming a first trench in the ILD down to the dummy gate electrode; removing the dummy gate electrode to form a second trench below the first trench; forming a metal gate structure in the first and second trenches; and forming a gate cap over the metal gate structure.

Classes IPC  ?

  • H01L 21/338 - Transistors à effet de champ à grille Schottky

39.

Semiconductor device with strain-inducing regions and method thereof

      
Numéro d'application 13953349
Numéro de brevet 08698243
Statut Délivré - en vigueur
Date de dépôt 2013-07-29
Date de la première publication 2013-11-28
Date d'octroi 2014-04-15
Propriétaire GLOBALFOUNDRIES, Inc. (Îles Caïmanes)
Inventeur(s)
  • Flachowsky, Stefan
  • Hoentschel, Jan
  • Scheiper, Thilo

Abrégé

Improved MOSFET devices are obtained by incorporating strain inducing source-drain regions whose closest facing “nose” portions underlying the gate are located at different depths from the device surface. In a preferred embodiment, the spaced-apart source-drain regions may laterally overlap. This close proximity increases the favorable impact of the strain inducing source-drain regions on the carrier mobility in an induced channel region between the source and drain. The source-drain regions are formed by epitaxially refilling asymmetric cavities etched from both sides of the gate. Cavity asymmetry is obtained by forming an initial cavity proximate only one sidewall of the gate and then etching the final spaced-apart source-drain cavities proximate both sidewalls of the gate along predetermined crystallographic directions. The finished cavities having different depths and nose regions at different heights extending toward each other under the gate, are epitaxially refilled with the strain inducing semiconductor material for the source-drain regions.

Classes IPC  ?

  • H01L 21/8242 - Structures de mémoires dynamiques à accès aléatoire (DRAM)
  • H01L 21/336 - Transistors à effet de champ à grille isolée

40.

Method for forming a self-aligned contact opening by a lateral etch

      
Numéro d'application 13471846
Numéro de brevet 08679968
Statut Délivré - en vigueur
Date de dépôt 2012-05-15
Date de la première publication 2013-11-21
Date d'octroi 2014-03-25
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Xie, Ruilong
  • Fan, Su Chen
  • Balasubramanian, Pranatharthiharan Haran
  • Horak, David Vaclav
  • Shom, Ponoth

Abrégé

A self-aligned source/drain contact formation process without spacer or cap loss is described. Embodiments include providing two gate stacks, each having spacers on opposite sides, and an interlayer dielectric (ILD) over the two gate stacks and in a space therebetween, forming a vertical contact opening within the ILD between the two gate stacks, and laterally removing ILD between the two gate stacks from the vertical contact opening toward the spacers, to form a contact hole.

Classes IPC  ?

  • H01L 21/4763 - Dépôt de couches non isolantes, p.ex. conductrices, résistives sur des couches isolantes; Post-traitement de ces couches
  • H01L 21/44 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes

41.

Critical dimension and pattern recognition structures for devices manufactured using double patterning techniques

      
Numéro d'application 13371585
Numéro de brevet 08932961
Statut Délivré - en vigueur
Date de dépôt 2012-02-13
Date de la première publication 2013-08-15
Date d'octroi 2015-01-13
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Mehta, Sohan
  • Chen, Tong Qing
  • Chauhan, Vikrant
  • Srivastava, Ravi
  • Labelle, Catherine
  • Kelling, Mark

Abrégé

An illustrative test structure is disclosed herein that includes a plurality of first line features and a plurality of second line features. In this embodiment, each of the second line features have first and second opposing ends and the first and second line features are arranged in a grating pattern such that the first ends of the first line features are aligned to define a first side of the grating structure and the second ends of the first features are aligned to define a second side of the grating structure that is opposite the first side of the grating structure. The first end of the second line features has a first end that extends beyond the first side of the grating structure while the second end of the second line features has a first end that extends beyond the second side of the grating structure.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/311 - Gravure des couches isolantes

42.

Semiconductor device with an oversized local contact as a Faraday shield

      
Numéro d'application 13346164
Numéro de brevet 08664717
Statut Délivré - en vigueur
Date de dépôt 2012-01-09
Date de la première publication 2013-07-11
Date d'octroi 2014-03-04
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Liu, Yanxiang
  • Teh, Young Way
  • Vakada, Vara

Abrégé

This application is directed to a semiconductor device with an oversized local contact as a Faraday shield, and methods of making such a semiconductor device. One illustrative device disclosed herein includes a transistor comprising a gate electrode and a source region, a source region conductor that is conductively coupled to the source region, a Faraday shield positioned above the source region conductor and the gate electrode and a first portion of a first primary metallization layer for an integrated circuit device positioned above and electrically coupled to the Faraday shield.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs

43.

Semiconductor device with strain-inducing regions and method thereof

      
Numéro d'application 13345457
Numéro de brevet 08524563
Statut Délivré - en vigueur
Date de dépôt 2012-01-06
Date de la première publication 2013-07-11
Date d'octroi 2013-09-03
Propriétaire GLOBALFOUNDRIES, Inc. (Îles Caïmanes)
Inventeur(s)
  • Flachowsky, Stefan
  • Hoentschel, Jan
  • Scheiper, Thilo

Abrégé

Improved MOSFET devices are obtained by incorporating strain inducing source-drain regions whose closest facing “nose” portions underlying the gate are located at different depths from the device surface. In a preferred embodiment, the spaced-apart source-drain regions may laterally overlap. This close proximity increases the favorable impact of the strain inducing source-drain regions on the carrier mobility in an induced channel region between the source and drain. The source-drain regions are formed by epitaxially refilling asymmetric cavities etched from both sides of the gate. Cavity asymmetry is obtained by forming an initial cavity proximate only one sidewall of the gate and then etching the final spaced-apart source-drain cavities proximate both sidewalls of the gate along predetermined crystallographic directions. The finished cavities having different depths and nose regions at different heights extending toward each other under the gate, are epitaxially refilled with the strain inducing semiconductor material for the source-drain regions.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

44.

High-k metal gate electrode structure formed by removing a work function on sidewalls in replacement gate technology

      
Numéro d'application 13339842
Numéro de brevet 08735236
Statut Délivré - en vigueur
Date de dépôt 2011-12-29
Date de la première publication 2013-07-04
Date d'octroi 2014-05-27
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Hempel, Klaus
  • Prindle, Christopher
  • Stephan, Rolf

Abrégé

When forming sophisticated high-k metal gate electrode structures on the basis of a replacement gate approach, the fill conditions upon filling in the highly conductive electrode metal, such as aluminum, may be enhanced by removing the final work function metal, for instance a titanium nitride material in P-channel transistors, only preserving a well-defined bottom layer.

Classes IPC  ?

  • H01L 21/338 - Transistors à effet de champ à grille Schottky

45.

Canyon gate transistor and methods for its fabrication

      
Numéro d'application 13283370
Numéro de brevet 08679921
Statut Délivré - en vigueur
Date de dépôt 2011-10-27
Date de la première publication 2013-05-02
Date d'octroi 2014-03-25
Propriétaire GLOBALFOUNDRIES, Inc. (Îles Caïmanes)
Inventeur(s)
  • Flachowsky, Stefan
  • Scheiper, Thilo

Abrégé

Lithographic limitations on gate and induced channel length in MOSFETS are avoided by forming non-planar MOSFETS in a cavity extending into a semiconductor substrate. The gate insulator and channel region lie proximate a cavity sidewall having angle α preferably about ≧90 degrees with respect to the semiconductor surface. The channel length depends on the bottom depth of the cavity and the depth from the surface of a source or drain region adjacent the cavity. The corresponding drain or source lies at the cavity bottom. The cavity sidewall extends therebetween. Neither depth is lithographic dependent. Very short channels can be consistently formed, providing improved performance and manufacturing yield. Source, drain and gate connections are brought to the same surface so that complex circuits can be readily constructed. The source and drain regions are preferably formed epitaxially and strain inducing materials can be used therein to improve channel carrier mobility.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

46.

Reticle defect correction by second exposure

      
Numéro d'application 13244426
Numéro de brevet 08785112
Statut Délivré - en vigueur
Date de dépôt 2011-09-24
Date de la première publication 2013-03-28
Date d'octroi 2014-07-22
Propriétaire GLOBAL FOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s) Hotzel, Arthur

Abrégé

Correction of reticle defects, such as EUV reticle defects, is accomplished with a second exposure. Embodiments include obtaining a reticle with a first pattern corresponding to a design for a wafer pattern, detecting dark defects and/or design/OPC weak spots in the first pattern, exposing a resist covered wafer using the reticle, and exposing the wafer using a second reticle with a second pattern or a second image field with openings corresponding to the dark defects, with a repair pattern on the reticle or on another reticle, or with a programmed e-beam or laser writer.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 1/24 - Masques en réflexion; Leur préparation
  • G03F 1/72 - Réparation ou correction des défauts dans un masque
  • G03F 1/84 - Inspection

47.

Methods for fabricating integrated circuits having gate to active and gate to gate interconnects

      
Numéro d'application 13237688
Numéro de brevet 08722500
Statut Délivré - en vigueur
Date de dépôt 2011-09-20
Date de la première publication 2013-03-21
Date d'octroi 2014-05-13
Propriétaire GlobalFoundries, Inc. (Îles Caïmanes)
Inventeur(s)
  • Scheiper, Thilo
  • Flachowsky, Stefan
  • Wei, Andy

Abrégé

Methods are provided for fabricating an integrated circuit that includes gate to active contacts. One method includes processing the IC in a replacement gate technology including forming dummy gates, sidewall spacers on the dummy gates, and metal silicide contacts to active areas. A fill layer is deposited and planarized to expose the dummy gates and the dummy gates are removed. A mask is formed having an opening overlying a portion of the channel region from which the dummy gate was removed and a portion of an adjacent metal silicide contact. The fill layer and a portion of the sidewall spacers exposed through the mask opening are etched to expose a portion of the adjacent metal silicide contact. A gate electrode material is deposited overlying the channel region and exposed metal silicide contact and is planarized to form a gate electrode and a gate-to-metal silicide contact interconnect.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

48.

Methods for fabricating integrated circuits

      
Numéro d'application 13231750
Numéro de brevet 08557666
Statut Délivré - en vigueur
Date de dépôt 2011-09-13
Date de la première publication 2013-03-14
Date d'octroi 2013-10-15
Propriétaire GLOBALFOUNDRIES, Inc. (Îles Caïmanes)
Inventeur(s)
  • Wei, Andy C.
  • Baars, Peter
  • Geiss, Erik P.

Abrégé

Methods are provided for fabricating integrated circuits. One method includes etching a plurality of trenches into a silicon substrate and filling the trenches with an insulating material to delineate a plurality of spaced apart silicon fins. A layer of undoped silicon is epitaxially grown to form an upper, undoped region of the fins. Dummy gate structures are formed overlying and transverse to the plurality of fins and a back fill material fills between the dummy gate structures. The dummy gate structures are removed to expose a portion of the fins and a high-k dielectric material and a work function determining gate electrode material are deposited overlying the portion of the fins. The back fill material is removed to expose a second portion and metal silicide contacts are formed on the second portion. Conductive contacts are then formed to the work function determining material and to the metal silicide.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

49.

Method for fabricating through substrate vias in semiconductor substrate

      
Numéro d'application 13243502
Numéro de brevet 08383460
Statut Délivré - en vigueur
Date de dépôt 2011-09-23
Date de la première publication 2013-02-26
Date d'octroi 2013-02-26
Propriétaire Globalfoundries, Inc. (Îles Caïmanes)
Inventeur(s) Yim, Myung Jin

Abrégé

Methods are provided for fabricating integrated circuit systems that include forming integrated circuits in and on a semiconductor substrate. Via holes are etched into a front surface of the semiconductor substrate and are filled with a conductive material. A carrier wafer having a layer of adhesive thereon is provided and an imprinted pattern is formed in the layer of adhesive. The front surface of the semiconductor substrate is bonded to the carrier wafer with the patterned layer of adhesive. A portion of a back surface of the semiconductor substrate is removed to expose a portion of the conductive material and the thinned back surface is attached to a second substrate. The semiconductor substrate is then de-bonded from the carrier wafer.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

50.

Methods of forming a dielectric cap layer on a metal gate structure

      
Numéro d'application 13205050
Numéro de brevet 08728908
Statut Délivré - en vigueur
Date de dépôt 2011-08-08
Date de la première publication 2013-02-14
Date d'octroi 2014-05-20
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Xie, Ruilong
  • Park, Chang Seo
  • Taylor, Iii, William James
  • Iacoponi, John

Abrégé

Disclosed herein are various methods of forming metal-containing insulating material regions on a metal layer of a gate structure of a semiconductor device. In one example, the method includes forming a gate structure of a transistor, the gate structure comprising at least a first metal layer, and forming a first metal-containing insulating material region in the first metal layer by performing a gas cluster ion beam process using to implant gas molecules into the first metal layer.

Classes IPC  ?

  • H01L 21/76 - Réalisation de régions isolantes entre les composants

51.

Methods of forming FinFET semiconductor devices with different fin heights

      
Numéro d'application 13439185
Numéro de brevet 08361894
Statut Délivré - en vigueur
Date de dépôt 2012-04-04
Date de la première publication 2013-01-29
Date d'octroi 2013-01-29
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Hargrove, Michael J.
  • Amarnath, Kuldeep

Abrégé

One illustrative method disclosed herein includes forming first and second FinFET devices in and above a first region and a second region of a semiconducting substrate, respectively, performing a first ion implantation process through a patterned mask layer to implant nitrogen into the second region, removing the patterned mask layer, performing a second ion implantation process to implant oxygen atoms into both the first and second regions, performing a heating process to form a layer of insulating material at least in the first region and performing at least one etching process to define at least one first fin in the first region and to define at least one second fin in the second region, the second fin being taller than the first fin.

Classes IPC  ?

  • H01L 21/425 - Bombardement par des radiations par des radiations d'énergie élevée produisant une implantation d'ions

52.

Nano-electro-mechanical DRAM cell

      
Numéro d'application 13015936
Numéro de brevet 08432723
Statut Délivré - en vigueur
Date de dépôt 2011-01-28
Date de la première publication 2012-08-02
Date d'octroi 2013-04-30
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Chang, Josephine B.
  • Chang, Leland
  • Guillorn, Michael A.
  • Li, Brian J.
  • Koester, Steven John

Abrégé

A DRAM cell and method for storing information in a dynamic random access memory using an electrostatic actuator beam to make an electrical connection between a storage capacitor and a bit line.

Classes IPC  ?

  • G11C 11/24 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des condensateurs
  • G11C 5/00 - MÉMOIRES STATIQUES - Détails de mémoires couverts par le groupe
  • G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
  • G11C 11/34 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs

53.

Integrated circuit system with reduced polysilicon residue and method of manufacture thereof

      
Numéro d'application 12975327
Numéro de brevet 08642475
Statut Délivré - en vigueur
Date de dépôt 2010-12-21
Date de la première publication 2012-06-21
Date d'octroi 2014-02-04
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Hu, Xiang
  • Wang, Helen
  • Sheikh, Arifuzzaman (arif)
  • Hichri, Habib
  • Wise, Richard

Abrégé

A method of manufacturing an integrated circuit system includes: providing a substrate; forming a polysilicon layer over the substrate; forming an anti-reflective coating layer over the polysilicon layer; etching an anti-reflective coating pattern into the anti-reflective coating layer leaving an anti-reflective coating residue over the polysilicon layer; and etching the anti-reflective coating residue with an etchant gas mixture comprising hydrogen bromide, chlorine, and oxygen to remove the anti-reflective coating residue for mitigating the formation of a polysilicon protrusion.

Classes IPC  ?

54.

Method, system and apparatus for automated termination of a therapy for an epileptic event upon a determination of effects of a therapy

      
Numéro d'application 13280178
Numéro de brevet 09533147
Statut Délivré - en vigueur
Date de dépôt 2011-10-24
Date de la première publication 2012-02-23
Date d'octroi 2017-01-03
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s) Osorio, Ivan

Abrégé

A method comprising detecting an epileptic event in a patient; applying an electrical therapy to a first target area in at least one of a brain region or a cranial nerve of said patient in response to said detecting; receiving a body signal responsive to the electrical therapy, wherein said body signal is selected from an autonomic signal, a neurologic signal, a metabolic signal, an endocrine signal, or a tissue stress marker signal; determining whether said body signal indicates that said electrical therapy has an efficacious effect; and terminating the application of said electrical therapy if the response indicates that the electrical therapy has an efficacious effect. An apparatus capable of performing the method. A non-transitive, computer-readable storage device for storing data that when executed by a processor, perform the method.

Classes IPC  ?

  • A61B 5/00 - Mesure servant à établir un diagnostic ; Identification des individus
  • A61N 1/36 - Application de courants électriques par électrodes de contact courants alternatifs ou intermittents pour stimuler, p.ex. stimulateurs cardiaques
  • A61N 1/05 - Electrodes à implanter ou à introduire dans le corps, p.ex. électrode cardiaque
  • A61N 1/362 - Stimulateurs cardiaques

55.

Implant damage control by in-situ C doping during sige epitaxy for device applications

      
Numéro d'application 13113107
Numéro de brevet 08652892
Statut Délivré - en vigueur
Date de dépôt 2011-05-23
Date de la première publication 2011-09-15
Date d'octroi 2014-02-18
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Liu, Jin Ping
  • Holt, Judson Robert

Abrégé

Some example embodiments of the invention comprise methods for and semiconductor structures comprised of: a MOS transistor comprised of source/drain regions, a gate dielectric, a gate electrode, channel region; a carbon doped SiGe region that applies a stress on the channel region whereby the carbon doped SiGe region retains stress/strain on the channel region after subsequent heat processing.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

56.

Apparatus and method for separating solids from a solids laden drilling fluid

      
Numéro d'application 13039933
Numéro de brevet 09199278
Statut Délivré - en vigueur
Date de dépôt 2011-03-03
Date de la première publication 2011-09-01
Date d'octroi 2015-12-01
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Scott, Eric
  • Burnett, George Alexander
  • El Dorry, Khaled
  • Ronquillo, Nahum

Abrégé

A method for operating a shale shaker to separate solids from a solids laden fluid, the shale shaker comprising a basket for holding at least one screen and a vibratory mechanism for vibrating the basket to an acceleration value, the method comprising the steps of monitoring the magnitude of the load of solids laden fluid in the basket and adjusting the acceleration value induced in the basket according to the magnitude of the load, wherein the acceleration is stepped down to a low acceleration value below a low load threshold.

Classes IPC  ?

  • B07B 1/42 - Mécanismes d'entraînement, dispositifs de régulation ou de commande, ou dispositifs d'équilibrage, spécialement adaptés aux tamis
  • B01D 33/03 - Filtres avec éléments filtrants mobiles au cours de l'opération de filtration à éléments filtrants mobiles en translation, p.ex. pistons avec des éléments filtrants vibrants
  • B07B 1/28 - Tamis mobiles non prévus ailleurs, p.ex. à oscillations, à mouvement alternatif, à balancement, à basculement ou à vacillement
  • B07B 1/46 - Eléments de structure constitutifs des tamis en général; Nettoyage ou chauffage des tamis
  • B07B 13/18 - Commande

57.

Structures and methods to reduce maximum current density in a solder ball

      
Numéro d'application 12640752
Numéro de brevet 08446006
Statut Délivré - en vigueur
Date de dépôt 2009-12-17
Date de la première publication 2011-06-23
Date d'octroi 2013-05-21
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Bezama, Raschid J.
  • Daubenspeck, Timothy H.
  • Lafontant, Gary
  • Melville, Ian D.
  • Misra, Ekta
  • Scott, George J.
  • Semkow, Krystyna W.
  • Sullivan, Timothy D.
  • Susko, Robin A.
  • Wassick, Thomas A.
  • Wei, Xiaojin
  • Wright, Steven L.

Abrégé

Structures and methods to reduce maximum current density in a solder ball are disclosed. A method includes forming a contact pad in a last wiring level and forming a plurality of wires of the contact pad extending from side edges of the contact pad to respective ones of a plurality of vias. Each one of the plurality of wires has substantially the same electrical resistance.

Classes IPC  ?

  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches

58.

Methodology of placing printing assist feature for random mask layout

      
Numéro d'application 12350251
Numéro de brevet 08099684
Statut Délivré - en vigueur
Date de dépôt 2009-01-08
Date de la première publication 2010-07-08
Date d'octroi 2012-01-17
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Meiring, Jason E
  • Haffner, Henning

Abrégé

Embodiments of the present invention provide a method of placing printing assist features in a mask layout. The method includes providing a design layout having one or more designed features; generating a set of parameters, the set of parameters being associated with one or more printing assist features (PrAFs); adding the one or more PrAFs of the set of parameters to the design layout to produce a modified design layout; performing simulation of the one or more PrAFs and the one or more designed features on the modified design layout; verifying whether the one or more PrAFs are removable based on results of the simulation; and creating a set of PrAF placement rules based on the set of parameters, if the one or more PrAFs are verified as removable. The set of PrAF placement rules may be used in creating a final set of PrAF features to be used for creating the mask layout.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

59.

Surface treatment for selective metal cap applications

      
Numéro d'application 12062130
Numéro de brevet 07830010
Statut Délivré - en vigueur
Date de dépôt 2008-04-03
Date de la première publication 2009-10-08
Date d'octroi 2010-11-09
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Yang, Chih-Chao
  • Nitta, Satya V.
  • Purushothaman, Sampath
  • Sankarapandian, Muthumanickam

Abrégé

Interconnect structures in which a noble metal-containing cap layer is present directly on a non-recessed surface of a conductive material which is embedded within a low k dielectric material are provided. It has been determined that by forming a hydrophobic surface on a low k dielectric material prior to metal cap formation provides a means for controlling the selective formation of the metal cap directly on the non-recessed surface of a conductive material. That is, the selective formation of the metal cap directly on the non-recessed surface of a conductive material is enhanced since the formation rate of the metal cap on the non-recessed surface of a conductive material is greater than on the hydrophobic surface of the low k dielectric material. It is observed that the hydrophobic surface may be a result of treating a damaged surface of the dielectric material with a silylating agent prior to the selective formation of the noble metal cap or, as a result of forming a hydrophobic polymeric layer on the surface of the dielectric material prior to the selective deposition of the noble metal cap. The hydrophobic polymeric layer typically includes atoms of Si, C and O.

Classes IPC  ?

  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes

60.

Variable flow computer cooling system for a data center and method of operation

      
Numéro d'application 12038894
Numéro de brevet 07808780
Statut Délivré - en vigueur
Date de dépôt 2008-02-28
Date de la première publication 2009-09-03
Date d'octroi 2010-10-05
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Brunschwiler, Thomas J.
  • Linderman, Ryan J.
  • Michel, Bruno
  • Ruetsche, Erich M.

Abrégé

Disclosed herein is a data center having a plurality of liquid cooled computer systems. The computer systems each include a processor coupled with a cold plate that allows direct liquid cooling of the processor. The cold plate is further arranged to provide adapted flow of coolant to different portions of the processor whereby higher temperature regions receive a larger flow rate of coolant. The flow is variably adjusted to reflect different levels of activity. By maximizing the coolant temperature exiting the computer systems, the system may utilize the free cooling temperature of the ambient air and eliminate the need for a chiller. A data center is further provided that is coupled with a district heating system and heat is extracted from the computer systems is used to offset carbon emissions and reduce the total cost of ownership of the data center.

Classes IPC  ?

  • G06F 1/20 - Moyens de refroidissement
  • H05K 7/20 - Modifications en vue de faciliter la réfrigération, l'aération ou le chauffage

61.

Methods involving silicon-on-insulator trench memory with implanted plate

      
Numéro d'application 12116626
Numéro de brevet 07550359
Statut Délivré - en vigueur
Date de dépôt 2008-05-07
Date de la première publication 2009-06-23
Date d'octroi 2009-06-23
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Cheng, Kangguo
  • Ho, Herbert L.
  • Wang, Geng

Abrégé

A method for fabricating silicon-on-insulator (SOI) trench memory includes forming a trench on a substrate, wherein a buried oxide layer is disposed on the substrate, a SOI layer is disposed on the buried oxide layer, and a hardmask layer is disposed on the SOI layer, implanting ions into the substrate and the SOI layer on a first opposing side of the trench and a second opposing side the trench to partially form a capacitor, depositing a node dielectric in the trench, filling the trench with a first polysilicon, removing a portion of the first polysilicon from the trench, removing an exposed portion of the node dielectric, filling the trench with a second polysilicon, masking to define an active region on the hardmask layer, forming shallow trench isolation (STI) such that the STI contacts a portion of the buried oxide layer, removing the hardmask layer, and forming a transistor.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

62.

METHOD AND APPARATUS FOR MONITORING OPTICAL PROXIMITY CORRECTION PERFORMANCE

      
Numéro d'application 11948151
Statut En instance
Date de dépôt 2007-11-30
Date de la première publication 2009-06-04
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)

Abrégé

A method includes specifying a plurality of optical proximity correction metrology sites on a wafer. Metrology data is collected from at least a subset of the metrology sites. Data values are predicted for the subset of the metrology sites using an optical proximity correction design model. The collected metrology data is compared to the predicted data values to generate an optical proximity correction metric. A problem condition associated with the optical proximity correction design model is identified based on the optical proximity correction metric.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

63.

System and method for recovery of memory transactions

      
Numéro d'application 11962718
Numéro de brevet 07861114
Statut Délivré - en vigueur
Date de dépôt 2007-12-21
Date de la première publication 2008-07-10
Date d'octroi 2010-12-28
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Baentsch, Michael
  • Buhler, Peter
  • Höring, Frank
  • Kramp, Thorsten
  • Weigold, Thomas

Abrégé

A method for transactional writing of data into a persistent memory comprising memory cells includes a transactional writing step and a transaction recovery step. The transactional writing step comprises one or more memory cell writing steps comprising the sub-steps of writing in a transaction buffer as transaction buffer entry the current data value and the corresponding address of the respective memory cell, writing a first valid marker for the memory cell in the transaction buffer, and writing a new data value to the memory cell. The transaction recovery step is performed in case of an abortion of the transactional writing step for restoring the current data values of the aborted transaction in the persistent memory, the transaction recovery step comprising the sub-step of writing a transaction recovery marker to the transaction buffer indicating the start of the transaction recovery.

Classes IPC  ?

  • G06F 11/00 - Détection d'erreurs; Correction d'erreurs; Contrôle de fonctionnement

64.

Body potential imager cell

      
Numéro d'application 11765485
Numéro de brevet 07538373
Statut Délivré - en vigueur
Date de dépôt 2007-06-20
Date de la première publication 2007-10-11
Date d'octroi 2009-05-26
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Ellis-Monaghan, John J.
  • Jaffe, Mark D.
  • Loiseau, Alain

Abrégé

An imaging circuit, an imaging sensor, and a method of imaging. The imaging cell circuit including one or more imaging cell circuits, each imaging cell circuit comprising: a transistor having a floating body for holding charge generated in the floating body in response to exposure of the floating body to electromagnetic radiation; means for biasing the transistor wherein an output of the transistor is responsive to the electromagnetic radiation; and means for selectively connecting the floating body to a reset voltage supply.

Classes IPC  ?

  • H01L 31/062 - Dispositifs à semi-conducteurs sensibles aux rayons infrarouges, à la lumière, au rayonnement électromagnétique d'ondes plus courtes, ou au rayonnement corpusculaire, et spécialement adaptés, soit comme convertisseurs de l'énergie dudit rayonnement e; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives; Leurs détails adaptés comme dispositifs de conversion photovoltaïque [PV] caractérisés par au moins une barrière de potentiel ou une barrière de surface les barrières de potentiel étant uniquement du type métal-isolant-semi-conducteur
  • H01L 31/113 - Dispositifs sensibles au rayonnement infrarouge, visible ou ultraviolet caractérisés par un fonctionnement par effet de champ, p.ex. phototransistor à effet de champ à jonction du type conducteur-isolant-semi-conducteur, p.ex. transistor à effet de champ métal-isolant-semi-conducteur
  • H01L 29/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails des corps semi-conducteurs ou de leurs électrodes

65.

Speed verification of an embedded processor in a programmable logic device

      
Numéro d'application 10837395
Numéro de brevet 07231621
Statut Délivré - en vigueur
Date de dépôt 2004-04-30
Date de la première publication 2007-06-12
Date d'octroi 2007-06-12
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Herron, Nigel G.
  • Ansari, Ahmad R.
  • Douglass, Stephen M.
  • Correale, Jr., Anthony
  • Debruyne, Leslie M.

Abrégé

Method and apparatus for generating a test program for a programmable logic device having an embedded processor. Predetermined code is obtained to exercise at least one speed limiting path identified. To the predetermined code is added wrapper code to provide the test program, the wrapper code in part for loading the predetermined code into cache of the embedded processor for testing the at least one speed limiting path of the embedded processor identified.

Classes IPC  ?

  • G06F 9/45 - Compilation ou interprétation de langages de programmation évolués
  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux

66.

Implant damage control by in-situ C doping during SiGe epitaxy for device applications

      
Numéro d'application 11502132
Numéro de brevet 07947546
Statut Délivré - en vigueur
Date de dépôt 2006-08-09
Date de la première publication 2007-05-03
Date d'octroi 2011-05-24
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Liu, Jin Ping
  • Holt, Judson Robert

Abrégé

Some example embodiments of the invention comprise methods for and semiconductor structures comprised of: a MOS transistor comprised of source/drain regions, a gate dielectric, a gate electrode, channel region; a carbon doped SiGe region that applies a stress on the channel region whereby the carbon doped SiGe region retains stress/strain on the channel region after subsequent heat processing.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

67.

Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor

      
Numéro d'application 11182681
Numéro de brevet 07405131
Statut Délivré - en vigueur
Date de dépôt 2005-07-16
Date de la première publication 2007-01-25
Date d'octroi 2008-07-29
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Chong, Yung Fu
  • Greene, Brian Joseph

Abrégé

The example embodiments disclose devices and methods to prevent silicide strapping of the Source/Drain to Body in semiconductor devices with S/D stressor. We provide isolation regions in the substrate and a gate structure over the substrate. We form recesses in the substrate adjacent to the gate structure with disposable spacers and adjacent to the isolation regions. We provide stressor regions filling the recesses. The stress region can have a pit adjacent the isolation regions. We form stressor spacers at least partially in the pit on the sidewalls of the stressor regions. We form silicide regions over the stressor regions. The spacer on the stressor regions sidewalls inhibit the formation of silicide at the stressor region edge during the silicide process, thus preventing silicide strapping of the Source/Drain to Body.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 21/336 - Transistors à effet de champ à grille isolée

68.

Test structure of semiconductor device

      
Numéro d'application 11218397
Numéro de brevet 07317204
Statut Délivré - en vigueur
Date de dépôt 2005-09-02
Date de la première publication 2006-07-27
Date d'octroi 2008-01-08
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Sun, Min-Chul
  • Ku, Ja-Hum
  • Greene, Brian J.
  • Eller, Manfred
  • Tan, Wee Lang
  • Fang, Sunfei
  • Luo, Zhijiong

Abrégé

A test structure of a semiconductor device is provided. The test structure includes a semiconductor substrate, a transistor which includes a gate electrode formed on first and second active regions defined within the semiconductor substrate, and first and second junction regions which are arranged at both sidewalls of the gate electrode to reside within the first and second active regions and are silicided, and first and second pads through which electrical signals are applied to the silicided first and second junction regions and detected and which are formed on the same level as the gate electrode or the semiconductor substrate.

Classes IPC  ?

  • H01L 23/58 - Dispositions électriques structurelles non prévues ailleurs pour dispositifs semi-conducteurs

69.

Test structure of semiconductor device

      
Numéro d'application 11243595
Numéro de brevet 07501651
Statut Délivré - en vigueur
Date de dépôt 2005-10-05
Date de la première publication 2006-06-01
Date d'octroi 2009-03-10
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Sun, Min-Chul
  • Ku, Ja-Hum
  • Greene, Brian J.
  • Eller, Manfred
  • Knoefler, Roman
  • Luo, Zhijiong

Abrégé

A test structure of a semiconductor device with improved test reliability is provided. The test structure includes first and second active regions which are electrically isolated from each other and on which silicided first and second junction regions are formed, respectively, a semiconductor substrate or a well which is formed on lower parts of the first and second junction regions and has a conductivity type different from the first and second junction regions, and first and second pads through which an electrical signal is applied to the first and second junction regions and detected, and which are formed on the same level as a lower part of a metal layer or on the same level as the semiconductor substrate.

Classes IPC  ?

  • H01L 23/58 - Dispositions électriques structurelles non prévues ailleurs pour dispositifs semi-conducteurs

70.

Antireflective film-forming composition, method for manufacturing the same, and antireflective film and pattern formation method using the same

      
Numéro d'application 11150565
Numéro de brevet 07541134
Statut Délivré - en vigueur
Date de dépôt 2005-06-10
Date de la première publication 2005-12-15
Date d'octroi 2009-06-02
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Iwabuchi, Motoaki
  • Hamada, Yoshitaka
  • Ogihara, Tsutomu
  • Asano, Takeshi
  • Ueda, Takafumi
  • Pfeiffer, Dirk

Abrégé

The present invention provides a material for an antireflective film characterized by high etching selectivity with respect to a resist, that is, which has a fast etching speed when compared to the resist, and in addition, can be removed without damage to a film which is to be processed. The present invention also provides a pattern formation method for forming an antireflective film layer on a substrate using this antireflective film-forming composition, and a pattern formation method that uses this antireflective film as a hard mask, and a pattern formation method that uses this antireflective film as a hard mask for processing the substrate. The present invention also provides an antireflective film-forming composition comprising an organic solvent, a cross linking agent, and a polymer comprising a light absorbing group obtained by hydrolyzing and condensing more than one type of silicon compound, a crosslinking group and a non-crosslinking group.

Classes IPC  ?

  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • G03F 7/039 - Composés macromoléculaires photodégradables, p.ex. réserves positives sensibles aux électrons
  • G03F 7/095 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires ayant plus d'une couche photosensible