Globalfoundries Inc.

Îles Caïmanes

Retour au propriétaire

1-100 de 205 pour Globalfoundries Inc. Trier par
Recheche Texte
Excluant les filiales
Affiner par Reset Report
Type PI
        Brevet 158
        Marque 47
Juridiction
        États-Unis 89
        International 88
        Europe 15
        Canada 13
Date
2021 23
2020 3
2019 11
Avant 2019 168
Classe IPC
H01L 21/336 - Transistors à effet de champ à grille isolée 24
H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS 22
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif 19
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée 17
H01L 29/66 - Types de dispositifs semi-conducteurs 15
Voir plus
Classe NICE
40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau 32
42 - Services scientifiques, technologiques et industriels, recherche et conception 31
09 - Appareils et instruments scientifiques et électriques 24
Statut
En Instance 10
Enregistré / En vigueur 195
  1     2     3        Prochaine page

1.

Delivering a New Era of More

      
Numéro d'application 018623084
Statut Enregistrée
Date de dépôt 2021-12-16
Date d'enregistrement 2022-04-20
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits. Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits.

2.

DELIVERING A NEW ERA OF MORE

      
Numéro d'application 215414000
Statut En instance
Date de dépôt 2021-12-15
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ? 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau

Produits et services

(1) Custom manufacture of semiconductors and integrated circuits

3.

DELIVERING A NEW ERA OF MORE

      
Numéro de série 97171404
Statut Enregistrée
Date de dépôt 2021-12-14
Date d'enregistrement 2023-02-28
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

4.

Gate structures

      
Numéro d'application 17404499
Numéro de brevet 11908917
Statut Délivré - en vigueur
Date de dépôt 2021-08-17
Date de la première publication 2021-12-02
Date d'octroi 2024-02-20
Propriétaire GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Shu, Jiehui
  • Gu, Sipeng
  • Wang, Haiting

Abrégé

The present disclosure generally relates to semiconductor structures and, more particularly, to gate structures and methods of manufacture. The method includes: forming a first gate structure and a second gate structure with gate materials; etching the gate materials within the second gate structure to form a trench; and depositing a conductive material within the trench so that the second gate structure has a metal composition different than the first gate structure.

Classes IPC  ?

  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/40 - Electrodes

5.

GF GLOBALFOUNDRIES

      
Numéro d'application 212414800
Statut En instance
Date de dépôt 2021-07-29
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 09 - Appareils et instruments scientifiques et électriques
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

(1) Semiconductors, processed semiconductor wafers, and integrated circuits (1) Custom manufacture of semiconductors and integrated circuits (2) Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

6.

GlobalFoundries

      
Numéro d'application 018514116
Statut Enregistrée
Date de dépôt 2021-07-15
Date d'enregistrement 2021-11-25
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 09 - Appareils et instruments scientifiques et électriques
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Semiconductors, processed semiconductor wafers, and integrated circuits. Custom manufacture of semiconductors and integrated circuits. Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits.

7.

GF GLOBALFOUNDRIES

      
Numéro de série 90980366
Statut Enregistrée
Date de dépôt 2021-07-14
Date d'enregistrement 2023-11-07
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

8.

GF GLOBALFOUNDRIES

      
Numéro de série 90829040
Statut En instance
Date de dépôt 2021-07-14
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Semiconductors, processed semiconductor wafers, and integrated circuits

9.

GF

      
Numéro d'application 212024300
Statut En instance
Date de dépôt 2021-07-13
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 09 - Appareils et instruments scientifiques et électriques
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

(1) Semiconductors, processed semi-conductor wafers, and integrated circuits (1) Custom manufacture of semiconductors and integrated circuits (2) Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

10.

Miscellaneous Design

      
Numéro d'application 018513570
Statut Enregistrée
Date de dépôt 2021-07-13
Date d'enregistrement 2021-11-17
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 09 - Appareils et instruments scientifiques et électriques
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Semiconductors, processed semi-conductor wafers, and integrated circuits. Custom manufacture of semiconductors and integrated circuits. Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits.

11.

GF

      
Numéro de série 90822730
Statut En instance
Date de dépôt 2021-07-12
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Semiconductors, processed semiconductor wafers, and integrated circuits

12.

GF

      
Numéro de série 90978975
Statut Enregistrée
Date de dépôt 2021-07-12
Date d'enregistrement 2023-03-21
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

13.

GF

      
Numéro d'application 211985200
Statut En instance
Date de dépôt 2021-07-09
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 09 - Appareils et instruments scientifiques et électriques
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

(1) Semiconductors, processed semi-conductor wafers, and integrated circuits (1) Custom manufacture of semiconductors and integrated circuits (2) Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

14.

GF

      
Numéro d'application 018512222
Statut Enregistrée
Date de dépôt 2021-07-09
Date d'enregistrement 2021-11-13
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 09 - Appareils et instruments scientifiques et électriques
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Semiconductors, processed semiconductor wafers, and integrated circuits. Custom manufacture of semiconductors and integrated circuits. Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits.

15.

GF

      
Numéro de série 90978974
Statut Enregistrée
Date de dépôt 2021-07-07
Date d'enregistrement 2023-03-21
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

16.

GF

      
Numéro de série 90815374
Statut En instance
Date de dépôt 2021-07-07
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Semiconductors, processed semi-conductor wafers, and integrated circuits

17.

GF

      
Numéro d'application 211607700
Statut Enregistrée
Date de dépôt 2021-06-23
Date d'enregistrement 2023-11-10
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

(1) Semiconductors, processed semi-conductor wafers, and integrated circuits

18.

GF

      
Numéro d'application 018500310
Statut Enregistrée
Date de dépôt 2021-06-23
Date d'enregistrement 2021-11-02
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Semiconductors, processed semi-conductor wafers, and integrated circuits.

19.

GF

      
Numéro de série 90785598
Statut En instance
Date de dépôt 2021-06-21
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Semiconductors, processed semi-conductor wafers, and integrated circuits

20.

Tight pitch wirings and capacitor(s)

      
Numéro d'application 17118876
Numéro de brevet 11380615
Statut Délivré - en vigueur
Date de dépôt 2020-12-11
Date de la première publication 2021-05-06
Date d'octroi 2022-07-05
Propriétaire GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Stamper, Anthony K.
  • Vaughn, Daisy A.
  • Bosley, Stephen R.
  • He, Zhong-Xiang

Abrégé

The present disclosure relates to semiconductor structures and, more particularly, to tight pitch wirings and capacitors and methods of manufacture. The structure includes: a capacitor including: a bottom plate of a first conductive material; an insulator material on the bottom plate; and a top plate of a second conductive material on the insulator material; and a plurality of wirings on a same level as the bottom plate and composed of the second conductive material.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 27/08 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type
  • H01L 49/02 - Dispositifs à film mince ou à film épais
  • H01G 4/33 - Condensateurs à film mince ou à film épais
  • H01G 4/08 - Diélectriques inorganiques
  • H01G 4/232 - Bornes pour la connexion électrique d'au moins deux couches d'un condensateur à empilement ou à enroulement
  • H01L 23/528 - Configuration de la structure d'interconnexion

21.

Diode structures

      
Numéro d'application 17097425
Numéro de brevet 11508810
Statut Délivré - en vigueur
Date de dépôt 2020-11-13
Date de la première publication 2021-03-04
Date d'octroi 2022-11-22
Propriétaire GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Singh, Jagar
  • Mishra, Shiv Kumar

Abrégé

The present disclosure relates to semiconductor structures and, more particularly, to high voltage diode structures and methods of manufacture. The structure includes: a diode structure composed of first well of a first dopant type in a substrate; and a well ring structure of the first dopant type in the substrate which completely surrounds the first well of the first dopant type, and spaced a distance “x” from the first well to cut a leakage path to a shallower second well of a second dopant type.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/872 - Diodes Schottky
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive

22.

Dual thickness fuse structures

      
Numéro d'application 17097432
Numéro de brevet 11749599
Statut Délivré - en vigueur
Date de dépôt 2020-11-13
Date de la première publication 2021-03-04
Date d'octroi 2023-09-05
Propriétaire GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Pekarik, John J.
  • Stamper, Anthony K.
  • Jain, Vibhor

Abrégé

The present disclosure relates to semiconductor structures and, more particularly, to dual thickness fuse structures and methods of manufacture. The structure includes a continuous wiring structure on a single wiring level and composed of conductive material having a fuse portion and a thicker wiring structure.

Classes IPC  ?

  • H01L 23/52 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre
  • H01L 23/525 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées avec des interconnexions modifiables
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/62 - Protection contre l'excès de courant ou la surcharge, p.ex. fusibles, shunts

23.

Metal on metal multiple patterning

      
Numéro d'application 17023853
Numéro de brevet 11398378
Statut Délivré - en vigueur
Date de dépôt 2020-09-17
Date de la première publication 2021-01-07
Date d'octroi 2022-07-26
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Chen, Hsueh-Chung
  • Srivastava, Ravi P.
  • Ghosh, Somnath
  • Licausi, Nicholas V.
  • Spooner, Terry A.
  • Reidy, Sean

Abrégé

The present disclosure relates to a structure which includes a first metal layer patterned as a mandrel, a dielectric spacer on the first metal layer, and a second metal layer on the dielectric spacer.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

24.

Line end structures for semiconductor devices

      
Numéro d'application 16393973
Numéro de brevet 10770392
Statut Délivré - en vigueur
Date de dépôt 2019-04-25
Date de la première publication 2020-09-08
Date d'octroi 2020-09-08
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Licausi, Nicholas V.
  • Law, Shao Beng

Abrégé

A method of fabricating a semiconductor device structure comprising depositing a layer of material on a dielectric stack and patterning the layer of material to form a hard mask, depositing a metal layer covering the hard mask to form a metal hard mask, forming vias in the dielectric stack using the metal hard mask, removing the metal hard mask, and forming trenches in the dielectric stack using the hard mask, wherein the hard mask and the metal hard mask are used to define a line end structure separating the trenches.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

25.

Forming replacement low-k spacer in tight pitch fin field effect transistors

      
Numéro d'application 16743293
Numéro de brevet 11374111
Statut Délivré - en vigueur
Date de dépôt 2020-01-15
Date de la première publication 2020-05-14
Date d'octroi 2022-06-28
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
  • STMICROELECTRONICS, INC. (USA)
Inventeur(s)
  • Cai, Xiuyu
  • Yeh, Chun-Chen
  • Liu, Qing
  • Xie, Ruilong

Abrégé

A semiconductor device that a fin structure, and a gate structure present on a channel region of the fin structure. A composite spacer is present on a sidewall of the gate structure including an upper portion having a first dielectric constant, a lower portion having a second dielectric constant that is less than the first dielectric constant, and an etch barrier layer between sidewalls of the first and second portion of the composite spacer and the gate structure. The etch barrier layer may include an alloy including at least one of silicon, boron and carbon.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/161 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée comprenant plusieurs des éléments prévus en
  • H01L 29/165 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée comprenant plusieurs des éléments prévus en dans différentes régions semi-conductrices

26.

Dual metal-insulator-semiconductor contact structure and formulation method

      
Numéro d'application 16668409
Numéro de brevet 10833019
Statut Délivré - en vigueur
Date de dépôt 2019-10-30
Date de la première publication 2020-02-27
Date d'octroi 2020-11-10
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES, INC. (Îles Caïmanes)
Inventeur(s)
  • Ando, Takashi
  • Niimi, Hiroaki
  • Yamashita, Tenko

Abrégé

A method of making a semiconductor device includes forming a first source/drain trench and a second source/drain trench over a first and second source/drain region, respectively; forming a first silicon dioxide layer in the first source/drain trench and a second silicon dioxide layer in the second source/drain trench; forming a first source/drain contact over the first source/drain region, the first source/drain contact including a first tri-layer contact disposed between the first silicon dioxide layer and a first conductive material; and forming a second source/drain contact over the second source/drain region, the second source/drain contact including a second tri-layer contact disposed between the second silicon dioxide layer and a second conductive material; wherein the first tri-layer contact includes a first metal oxide layer in contact with the first silicon dioxide layer, and the second tri-layer contact includes a second metal oxide layer in contact with the second silicon dioxide layer.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 29/66 - Types de dispositifs semi-conducteurs

27.

Chamferless via structures

      
Numéro d'application 16503683
Numéro de brevet 10903118
Statut Délivré - en vigueur
Date de dépôt 2019-07-05
Date de la première publication 2019-10-31
Date d'octroi 2021-01-26
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Lenhardt, Mark L.
  • Mont, Frank W.
  • Peethala, Brown C.
  • Siddiqui, Shariq
  • Striss, Jessica P.
  • Trickett, Douglas M.

Abrégé

Chamferless via structures and methods of manufacture are provided. The method includes: forming at least one non-self-aligned via within at least dielectric material; plugging the at least one non-self-aligned via with material; forming a protective sacrificial mask over the material which plugs the at least one non-self-aligned via, after a recessing process; forming at least one trench within the dielectric material, with the protective sacrificial mask protecting the material during the trench formation; removing the protective sacrificial mask and the material within the at least one non-self-aligned via to form a wiring via; and filling the wiring via and the at least one trench with conductive material.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 21/311 - Gravure des couches isolantes

28.

Chamferless via structures

      
Numéro d'application 16503706
Numéro de brevet 10937694
Statut Délivré - en vigueur
Date de dépôt 2019-07-05
Date de la première publication 2019-10-31
Date d'octroi 2021-03-02
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Lenhardt, Mark L.
  • Mont, Frank W.
  • Peethala, Brown C.
  • Siddiqui, Shariq
  • Striss, Jessica P.
  • Trickett, Douglas M.

Abrégé

Chamferless via structures and methods of manufacture are provided. The method includes: forming at least one non-self-aligned via within at least dielectric material; plugging the at least one non-self-aligned via with material; forming a protective sacrificial mask over the material which plugs the at least one non-self-aligned via, after a recessing process; forming at least one trench within the dielectric material, with the protective sacrificial mask protecting the material during the trench formation; removing the protective sacrificial mask and the material within the at least one non-self-aligned via to form a wiring via; and filling the wiring via and the at least one trench with conductive material.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H01L 21/311 - Gravure des couches isolantes

29.

ROV hot-stab with integrated sensor

      
Numéro d'application 16343981
Numéro de brevet 10774620
Statut Délivré - en vigueur
Date de dépôt 2016-10-24
Date de la première publication 2019-10-31
Date d'octroi 2020-09-15
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s) Johnson, Mark Alan

Abrégé

An ROV hot-stab device (100) comprising a hot stab body (102) having a flow bore (102A) that is adapted to receive a fluid, a housing (104) that is operatively coupled to the hot stab body (102), and at least one fluid inlet/outlet (104A/104B) defined in the housing (104). The device (100) also includes an isolation valve (103) that is at least partially positioned within the housing (104) wherein the isolation valve (103) is adapted to, when actuated, establish fluid communication between the bore (102A) of the hot stab body (102) and the at least one fluid inlet/outlet (104A/104B) and at least one sensor (114) positioned at least partially within the housing (104) wherein the sensor (114) is adapted to sense a parameter of the fluid.

Classes IPC  ?

  • E21B 41/04 - Manipulateurs pour opérations sous l'eau, p.ex. reliés temporairement aux têtes de puits
  • E21B 34/06 - Aménagements des vannes pour les trous de forage ou pour les puits dans les puits
  • E21B 33/035 - Têtes de puits; Leur mise en place spécialement adaptées aux installations sous l'eau
  • E21B 34/04 - Aménagements des vannes pour les trous de forage ou pour les puits dans les têtes de puits dans les têtes de puits situées sous l'eau
  • E21B 47/10 - Localisation des fuites, intrusions ou mouvements du fluide
  • E21B 34/00 - Aménagements des vannes pour les trous de forage ou pour les puits

30.

Semiconductor device with transistor local interconnects

      
Numéro d'application 16502521
Numéro de brevet 10833018
Statut Délivré - en vigueur
Date de dépôt 2019-07-03
Date de la première publication 2019-10-24
Date d'octroi 2020-11-10
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Rashed, Mahbub
  • Lin, Irene Y.
  • Soss, Steven
  • Kim, Jeff
  • Nguyen, Chinh
  • Tarabbia, Marc
  • Johnson, Scott
  • Kengeri, Subramani
  • Venkatesan, Suresh

Abrégé

A semiconductor device includes a substrate with first and second transistors disposed thereon and including sources, drains, and gates, wherein the first and second gates extend longitudinally as part of linear strips that are parallel to and spaced apart. The device further includes a first CB layer forming a local interconnect electrically connected to the first gate, a second CB layer forming a local interconnect electrically connected to the second gate, and a CA layer forming a local interconnect extending longitudinally between first and second ends of the CA layer. The first and second CB layers and the CA layer are disposed between a first metal layer and the substrate. The first metal layer is disposed above each source, drain, and gate of the transistors, The CA layer extends parallel to the first and second linear strips and is substantially perpendicular to the first and second CB layers.

Classes IPC  ?

  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 21/8234 - Technologie MIS
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 27/118 - Circuits intégrés à tranche maîtresse

31.

Vertical-transport field-effect transistors with self-aligned contacts

      
Numéro d'application 15947991
Numéro de brevet 10797138
Statut Délivré - en vigueur
Date de dépôt 2018-04-09
Date de la première publication 2019-10-10
Date d'octroi 2020-10-06
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Bourjot, Emilie
  • Chanemougame, Daniel
  • Bentley, Steven

Abrégé

Methods of forming contacts for vertical-transport field-effect transistors and structures for a vertical-transport field-effect transistor and contact. An interlayer dielectric layer is deposited over a gate stack, and a first opening is formed in the interlayer dielectric layer and penetrates through the gate stack to cut the gate stack into a first section and a second section. A dielectric pillar is formed in the first opening and is arranged between the first section of the gate stack and the second section of the gate stack. Second and third openings are formed in the interlayer dielectric layer that penetrate to the gate stack and that are divided by the dielectric pillar. A first contact in the second opening is coupled with the first section of the gate stack, and a second contact in the third opening is coupled with the second section of the gate stack.

Classes IPC  ?

  • H01L 29/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails des corps semi-conducteurs ou de leurs électrodes
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

32.

Shielded MRAM cell

      
Numéro d'application 15874077
Numéro de brevet 10439129
Statut Délivré - en vigueur
Date de dépôt 2018-01-18
Date de la première publication 2019-07-18
Date d'octroi 2019-10-08
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Houssameddine, Dimitri
  • Wang, Chenchen Jacob
  • Liu, Bin
  • Siah, Soh Yun

Abrégé

One illustrative integrated circuit (IC) product disclosed herein includes an MRAM cell, the MRAM cell having an outer perimeter, wherein the MRAM cell comprises a bottom electrode, a top electrode and an MTJ (Magnetic Tunnel Junction) element positioned above the bottom electrode and below the top electrode. In this example, the IC product also includes an insulating material positioned around the outer perimeter of the MRAM cell and a conductive sidewall spacer comprised of a metal-containing shielding material positioned around the outer perimeter of the MRAM cell, wherein the insulating material is positioned between the conductive sidewall spacer and the MRAM cell.

Classes IPC  ?

  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails
  • H01L 23/552 - Protection contre les radiations, p.ex. la lumière
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues

33.

Methods of shielding an embedded MRAM array on an integrated circuit product comprising CMOS based transistors

      
Numéro d'application 15874205
Numéro de brevet 10374154
Statut Délivré - en vigueur
Date de dépôt 2018-01-18
Date de la première publication 2019-07-18
Date d'octroi 2019-08-06
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Houssameddine, Dimitri
  • Wang, Chenchen Jacob
  • Liu, Bin

Abrégé

One illustrative method disclosed herein includes forming an MRAM memory array and a plurality of peripheral circuits for an integrated circuit product above a semiconductor substrate, forming a patterned layer of a metal-containing shielding material above the substrate, the patterned layer of metal-containing shielding material covering the MRAM memory array while leaving an area above the plurality of peripheral circuits exposed, and, with the patterned layer of metal-containing shielding material in position, performing a silicon dangling bond passivation anneal process on the integrated circuit product.

Classes IPC  ?

  • H01L 21/30 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 43/08 - Résistances commandées par un champ magnétique

34.

Low resistance source drain contact formation with trench metastable alloys and laser annealing

      
Numéro d'application 16265784
Numéro de brevet 11562906
Statut Délivré - en vigueur
Date de dépôt 2019-02-01
Date de la première publication 2019-06-13
Date d'octroi 2023-01-24
Propriétaire
  • International Business Machines Corporation (USA)
  • GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Gluschenkov, Oleg
  • Liu, Zuoguang
  • Mochizuki, Shogo
  • Niimi, Hiroaki
  • Yamashita, Tenko
  • Yeh, Chun-Chen

Abrégé

3). Transistor devices are also provided utilizing the present P-doped Si source and drain contacts.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/24 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des matériaux semi-conducteurs inorganiques non couverts par les groupes , ,  ou
  • H01L 29/267 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, des éléments couverts par plusieurs des groupes , , , , dans différentes régions semi-conductrices
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

35.

Semiconductor devices having equal thickness gate spacers

      
Numéro d'application 16216356
Numéro de brevet 10763342
Statut Délivré - en vigueur
Date de dépôt 2018-12-11
Date de la première publication 2019-04-25
Date d'octroi 2020-09-01
Propriétaire
  • Interanational Business Machines Corporation (USA)
  • GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Chi, Cheng
  • Xie, Ruilong

Abrégé

A method is presented for forming equal thickness gate spacers for a CMOS (complementary metal oxide semiconductor) device, the method includes forming a PFET (p-type field effect transistor) device and an NFET (n-type field effect transistor) device each including gate masks formed over dummy gates, forming PFET epi growth regions between the dummy gates of the PFET device, forming NFET epi growth regions between the dummy gates of the NFET device, depositing a nitride liner and an oxide over the PFET and NFET epi growth regions, the nitride liner and oxide extending up to the gate masks, and removing the dummy gates and the gate masks to form HKMGs (high-k metal gates) between the PFET and NFET epi growth regions.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/3105 - Post-traitement
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/8234 - Technologie MIS

36.

FORMING SELF-ALIGNED CONTACTS

      
Numéro d'application IB2018055243
Numéro de publication 2019/016672
Statut Délivré - en vigueur
Date de dépôt 2018-07-16
Date de publication 2019-01-24
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • IBM UNITED KINGDOM LIMITED (Royaume‑Uni)
  • IBM (CHINA) INVESTMENT COMPANY LIMITED (Chine)
  • GLOBALFOUNDRIES INC, (Royaume‑Uni)
  • SAMSUNG ELECTRONICS CO, LTD, (République de Corée)
Inventeur(s)
  • Fan, Su Chen
  • Pranatharthiharan, Balasubramanian
  • Greene, Andrew
  • Xie, Ruilong
  • Raymond, Mark, Victor
  • Lian, Sean

Abrégé

Techniques for forming self-aligned contacts by forming gate sidewall spacers and gates before forming the contacts are provided, in one aspect, a method of forming self-aligned contacts includes the steps of: forming multiple gate sidewall spacers on a substrate; burying the gate sidewall spacers In a dielectric; forming gate trenches by selectively rernoving the dielectric from: regions between the gate sidewall spacers in which gates will be formed; forming the gates in the gate trenches; forming contact trenches by selectively removing the dielectric from regions between the gate sidewall spacers in which the self-aligned contacts will be formed; and forming the self-aligned contacts in the contact trenches. A device structure having self-aligned contacts is also provided.

Classes IPC  ?

  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS

37.

Chamfering for stress reduction on passivation layer

      
Numéro d'application 15719861
Numéro de brevet 10170439
Statut Délivré - en vigueur
Date de dépôt 2017-09-29
Date de la première publication 2019-01-01
Date d'octroi 2019-01-01
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Khor, Ee Jan
  • Tan, Juan Boon
  • Yi, Wanbing
  • Chockalingam, Ramasamy
  • Chen, Qian
  • Mulia, Suleni Tunggal
  • Hu, Yongmei

Abrégé

Devices are formed to have inner layers that have electronic devices, and an outer passivation layer. A patterned conductor is formed on a first surface of the inner layers, and through conductors (that extend through interior insulator layers) are positioned to electrically connect the patterned conductor to the electronic devices. The patterned conductor includes a pattern of connected linear sections that are parallel to the first surface of the inner layers. The linear sections of the patterned conductor meet at conductor corners, and at least one of the conductor corners of the patterned conductor includes a chamfer side that terminates at the linear sections. Further, the chamfer side is not perfectly diagonal, but instead forms unequal angles with the linear sections that intersect to form the corner.

Classes IPC  ?

  • H01L 23/52 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/482 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées

38.

Trench silicide contacts with high selectivity process

      
Numéro d'application 15847186
Numéro de brevet 10985260
Statut Délivré - en vigueur
Date de dépôt 2017-12-19
Date de la première publication 2018-04-19
Date d'octroi 2021-04-20
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (USA)
Inventeur(s)
  • Greene, Andrew M.
  • Pranatharthiharan, Balasubramanian
  • Xie, Ruilong

Abrégé

A method for forming self-aligned contacts includes patterning a mask between fin regions of a semiconductor device, etching a cut region through a first dielectric layer between the fin regions down to a substrate and filling the cut region with a first material, which is selectively etchable relative to the first dielectric layer. The first dielectric layer is isotropically etched to reveal source and drain regions in the fin regions to form trenches in the first material where the source and drain regions are accessible. The isotropic etching is super selective to remove the first dielectric layer relative to the first material and relative to gate structures disposed between the source and drain regions. Metal is deposited in the trenches to form silicide contacts to the source and drain regions.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/8234 - Technologie MIS
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus

39.

FinFETs with air-gap spacers and methods for forming the same

      
Numéro d'application 15220990
Numéro de brevet 09831346
Statut Délivré - en vigueur
Date de dépôt 2016-07-27
Date de la première publication 2017-11-28
Date d'octroi 2017-11-28
Propriétaire GLOBALFOUNDRIES, INC. (Îles Caïmanes)
Inventeur(s)
  • Zang, Hui
  • Chi, Min-Hwa

Abrégé

Fin field effect transistors (FinFETs) include air-gaps between adjacent metal contacts and/or between metal contacts and the transistor gate. The air-gaps are formed during non-conformal deposition of an isolation dielectric in conjunction with a metal-first process to form the conductive structures.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

40.

Integrated circuit structure with refractory metal alignment marker and methods of forming same

      
Numéro d'application 15384741
Numéro de brevet 09806032
Statut Délivré - en vigueur
Date de dépôt 2016-12-20
Date de la première publication 2017-10-31
Date d'octroi 2017-10-31
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Lin, Wei
  • He, Nailong
  • Singh, Upinder

Abrégé

The disclosure relates to integrated circuit (IC) structures and fabrication techniques. Methods according to the disclosure can include: providing a precursor structure including: a first inter-metal dielectric (IMD); a barrier dielectric positioned on the first IMD; forming an insulator on the barrier dielectric of the precursor structure, wherein an upper surface of the insulator includes a first trench and a second trench laterally separated from the first trench; forming an alignment marker over the precursor structure by filling the first trench with a first refractory metal film; forming a first metal-insulator-metal (MIM) electrode by filling the second trench with the first refractory metal film; recessing the insulator without exposing an upper surface of the barrier dielectric; forming a MIM dielectric layer on the insulator; and forming a second MIM electrode on the MIM dielectric layer, such that the second MIM electrode overlies a portion of the first MIM electrode.

Classes IPC  ?

  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 49/02 - Dispositifs à film mince ou à film épais
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive

41.

Gate cut with high selectivity to preserve interlevel dielectric layer

      
Numéro d'application 15484173
Numéro de brevet 10957544
Statut Délivré - en vigueur
Date de dépôt 2017-04-11
Date de la première publication 2017-08-03
Date d'octroi 2021-03-23
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Greene, Andrew M.
  • Jung, Ryan O.
  • Xie, Ruilong

Abrégé

A method for preserving interlevel dielectric in a gate cut region includes recessing a dielectric fill to expose cap layers of gate structures formed in a device region and in a cut region and forming a liner in the recess on top of the recessed dielectric fill. The liner includes a material to provide etch selectivity to protect the dielectric fill. The gate structures in the cut region are recessed to form a gate recess using the liner to protect the dielectric fill from etching. A gate material is removed from within the gate structure using the liner to protect the dielectric fill from etching. A dielectric gap fill is formed to replace the gate material and to fill the gate recess in the cut region.

Classes IPC  ?

  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/3105 - Post-traitement

42.

Replacement low-k spacer

      
Numéro d'application 15462657
Numéro de brevet 09892926
Statut Délivré - en vigueur
Date de dépôt 2017-03-17
Date de la première publication 2017-07-06
Date d'octroi 2018-02-13
Propriétaire
  • International Business Machines Corporation (USA)
  • GlobalFoundries Inc. (Îles Caïmanes)
Inventeur(s)
  • Cai, Xiuyu
  • Cheng, Kangguo
  • Khakifirooz, Ali
  • Xie, Ruilong

Abrégé

Forming a semiconductor structure includes forming a dummy gate stack on a substrate including a sacrificial spacer on the peripheral of the dummy gate stack. The dummy gate stack is partially recessed. The sacrificial spacer is etched down to the partially recessed dummy gate stack. Remaining portions of the sacrificial spacer are etched leaving gaps on sides of a remaining portion of the dummy gate stack. A first low-k spacer portion and a second low-k spacer portion are formed to fill gaps around the remaining portions of the dummy gate stack and extending vertically along a sidewall of a dummy gate cavity. The first and second low-k spacer portions are etched. A poly pull process is performed on the remaining portions of the dummy gate stack. A replacement metal gate (RMG) structure is formed with the first low-k spacer portion and the second low-k spacer portion.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes

43.

Self aligned gate shape preventing void formation

      
Numéro d'application 15359953
Numéro de brevet 10505016
Statut Délivré - en vigueur
Date de dépôt 2016-11-23
Date de la première publication 2017-06-22
Date d'octroi 2019-12-10
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
  • STMICROELECTRONICS, INC. (USA)
Inventeur(s)
  • Greene, Andrew M.
  • Liu, Qing
  • Xie, Ruilong
  • Yeh, Chun-Chen

Abrégé

A semiconductor device that includes a first fin structure in a first portion of a substrate, and a second fin structure in a second portion of the substrate, wherein the first portion of the substrate is separated from the second portion of the substrate by at least one isolation region. A gate structure present extending from the first fin structure across the isolation region to the second fin structure. The gate structure including a first portion on the first fin structure including a first work function metal having at least one void, an isolation portion that is voidless present overlying the isolation region, and a second portion on the second fin structure including a second work function metal.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 21/762 - Régions diélectriques
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

44.

Trench silicide contacts with high selectivity process

      
Numéro d'application 15276060
Numéro de brevet 10818773
Statut Délivré - en vigueur
Date de dépôt 2016-09-26
Date de la première publication 2017-05-04
Date d'octroi 2020-10-27
Propriétaire
  • International Business Machines Corporation (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Greene, Andrew M.
  • Pranatharthiharan, Balasubramanian
  • Xie, Ruilong

Abrégé

A method for forming self-aligned contacts includes patterning a mask between fin regions of a semiconductor device, etching a cut region through a first dielectric layer between the fin regions down to a substrate and filling the cut region with a first material, which is selectively etchable relative to the first dielectric layer. The first dielectric layer is isotropically etched to reveal source and drain regions in the fin regions to form trenches in the first material where the source and drain regions are accessible. The isotropic etching is super selective to remove the first dielectric layer relative to the first material and relative to gate structures disposed between the source and drain regions. Metal is deposited in the trenches to form silicide contacts to the source and drain regions.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/8234 - Technologie MIS
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus

45.

Trench silicide contacts with high selectivity process

      
Numéro d'application 15190778
Numéro de brevet 10797154
Statut Délivré - en vigueur
Date de dépôt 2016-06-23
Date de la première publication 2017-05-04
Date d'octroi 2020-10-06
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Greene, Andrew M.
  • Pranatharthiharan, Balasubramanian
  • Xie, Ruilong

Abrégé

A method for forming self-aligned contacts includes patterning a mask between fin regions of a semiconductor device, etching a cut region through a first dielectric layer between the fin regions down to a substrate and filling the cut region with a first material, which is selectively etchable relative to the first dielectric layer. The first dielectric layer is isotropically etched to reveal source and drain regions in the fin regions to form trenches in the first material where the source and drain regions are accessible. The isotropic etching is super selective to remove the first dielectric layer relative to the first material and relative to gate structures disposed between the source and drain regions. Metal is deposited in the trenches to form silicide contacts to the source and drain regions.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 21/283 - Dépôt de matériaux conducteurs ou isolants pour les électrodes
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 23/485 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes formées de couches conductrices inséparables du corps semi-conducteur sur lequel elles ont été déposées formées de structures en couches comprenant des couches conductrices et isolantes, p.ex. contacts planaires
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 23/535 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions internes, p.ex. structures d'interconnexions enterrées
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/8234 - Technologie MIS
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus

46.

Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling

      
Numéro d'application 15343776
Numéro de brevet 10388754
Statut Délivré - en vigueur
Date de dépôt 2016-11-04
Date de la première publication 2017-04-27
Date d'octroi 2019-08-20
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBALFOUNDRIES, INC. (Îles Caïmanes)
Inventeur(s)
  • Cai, Xiuyu
  • Cheng, Kangguo
  • Khakifirooz, Ali
  • Xie, Ruilong
  • Yamashita, Tenko

Abrégé

Semiconductor devices and methods for making the same includes conformally forming a first spacer on multiple fins. A second spacer is conformally formed on the first spacer, the second spacer being formed from a different material from the first spacer. The fins are etched below a bottom level of the first spacer to form a fin cavity. Material from the first spacer is removed to expand the fin cavity. Fin material is grown directly on the etched fins to fill the fin cavity.

Classes IPC  ?

  • H01L 21/20 - Dépôt de matériaux semi-conducteurs sur un substrat, p.ex. croissance épitaxiale
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/3105 - Post-traitement
  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée

47.

METHOD OF SIMULTANEOUS LITHOGRAPHY AND ETCH CORRECTION FLOW

      
Numéro d'application US2016040276
Numéro de publication 2017/004312
Statut Délivré - en vigueur
Date de dépôt 2016-06-30
Date de publication 2017-01-05
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Han, Geng
  • Mansfield, Scott M.
  • Nguyen-Ngoc, Dominique
  • Samuels, Donald J
  • Viswanathan, Ramya

Abrégé

A method of mask correction where two independent process models are analyzed and co-optimized simultaneously. In the method, a first lithographic process model simulation is run on a computer system that results in generating a first mask size in a first process window. Simultaneously, a second hard mask open etch process model simulation is run resulting in generating a second mask size in a second process window. Each first lithographic process model and second hard mask open etch process model simulations are analyzed in a single iterative loop and a common process window (PW) optimized between lithography and etch is obtained such that said first mask size and second mask size are centered between said common PW. Further, an etch model form is generated that accounts for differences in an etched pattern due to variation in three-dimensional photoresist profile, the model form including both optical and density terms that directly relate to an optical image.

Classes IPC  ?

  • G03F 1/70 - Adaptation du tracé ou de la conception de base du masque aux exigences du procédé lithographique, p.ex. correction par deuxième itération d'un motif de masque pour l'imagerie
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G06F 17/50 - Conception assistée par ordinateur

48.

FDX

      
Numéro de série 87162701
Statut Enregistrée
Date de dépôt 2016-09-07
Date d'enregistrement 2020-11-24
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits; manufacturing consultation services in the field of semiconductor fabrication Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

49.

A SYSTEM AND METHOD FOR ACTIVE POWER FACTOR CORRECTION AND CURRENT REGULATION IN LED CIRCUIT

      
Numéro d'application IB2015059190
Numéro de publication 2016/084051
Statut Délivré - en vigueur
Date de dépôt 2015-11-29
Date de publication 2016-06-02
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Swaminathan, Rajesh
  • Khare, Abhisek
  • Bhagwat, Hrishikesh
  • Bose, Sumon K
  • Bhagwat, Krishnadas
  • Samantha, Somnath
  • Karpur, Ramesh G

Abrégé

The present invention discloses a system and method for active power factor correction and current regulation in led circuit. The system (100) used in the LED driver circuit performs active PFC and current regulation through the dynamic input current wave shaping by limiting peak currents. The dynamic wave 5 shaping scheme is realized through hardware and firmware and is used to strike an optimal balance between current accuracy, Power factor, THD and peak inductor currents. The system (100) is versatile enough to improve PF and current accuracy in LED circuits and indimmers circuits.

Classes IPC  ?

  • G05F 1/00 - Systèmes automatiques dans lesquels les écarts d'une grandeur électrique par rapport à une ou plusieurs valeurs prédéterminées sont détectés à la sortie et réintroduits dans un dispositif intérieur au système pour ramener la grandeur détectée à sa va

50.

DYNAMIC BLEED SYSTEM AND METHOD FOR DYNAMIC LOADING OF A DIMMER USING EVENT DRIVEN ARCHITECTURE

      
Numéro d'application IB2015059191
Numéro de publication 2016/084052
Statut Délivré - en vigueur
Date de dépôt 2015-11-29
Date de publication 2016-06-02
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Swaminathan, Rajesh
  • Samantha, Somnath
  • Bose, Sumon K
  • Khare, Abhisek
  • Bhagwat, Hrishikesh
  • Karpur, Ramesh G
  • Bhagwat, Krishnadas

Abrégé

The present invention discloses a dynamic bleed system and method for dynamic loading of a dimmer using event driven architecture for LED applications. An integrated event driven LED driver architecture is used to perform dynamic loading (bleed) of triac dimmer to effectively operate in low power LED applications. The bleeder circuit dynamically loads the dimmer when instantaneous cycle by cycle power falls below a specified value and shuts the bleed path when the power is above a specified value. This threshold is programmable with hysteresis and the loading pattern is programmable as well.

Classes IPC  ?

  • G09G 3/36 - Dispositions ou circuits de commande présentant un intérêt uniquement pour l'affichage utilisant des moyens de visualisation autres que les tubes à rayons cathodiques pour la présentation d'un ensemble de plusieurs caractères, p.ex. d'une page, en composant l'ensemble par combinaison d'éléments individuels disposés en matrice en commandant la lumière provenant d'une source indépendante utilisant des cristaux liquides

51.

A SYSTEM AND METHOD TO REGULATE PRIMARY SIDE CURRENT USING AN EVENT DRIVEN ARCHITECTURE IN LED CIRCUIT

      
Numéro d'application IB2015059192
Numéro de publication 2016/084053
Statut Délivré - en vigueur
Date de dépôt 2015-11-29
Date de publication 2016-06-02
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Khare, Abhisek
  • Samantha, Somnath
  • Bhagwat, Krishnadas
  • Bose, Sumon K
  • Bhagwat, Hrishikesh
  • Swaminathan, Rajesh
  • Karpur, Ramesh G

Abrégé

The present invention discloses a system and method to regulate primary side current using an event driven architecture in led circuit. The system (100) performs a primary side regulation (PSR) of isolated or non-isolated LED driver topology such as fly back system. The primary side peak voltage/current is regulated to achieve desired secondary side currents without the need of additional external components. The architecture combines firmware and hardware to realize PSR. The method (200) may effectively combine input wave shaping (Active PFC), dimming and PSR to achieve accurate secondary side currents. The method (200) corrects the Peak Regulation Voltage/current (PRV) of primary loop to meet desired half cycle reference voltage/current, which in turn achieves the desired secondary loop current in led circuit.

Classes IPC  ?

  • H02M 3/335 - Transformation d'une puissance d'entrée en courant continu en une puissance de sortie en courant continu avec transformation intermédiaire en courant alternatif par convertisseurs statiques utilisant des tubes à décharge avec électrode de commande ou des dispositifs à semi-conducteurs avec électrodes de commande pour produire le courant alternatif intermédiaire utilisant des dispositifs du type triode ou transistor exigeant l'application continue d'un signal de commande utilisant uniquement des dispositifs à semi-conducteurs
  • G06F 17/10 - Opérations mathématiques complexes
  • H04L 27/00 - Systèmes à porteuse modulée
  • H05B 37/02 - Commande

52.

Detecting, assessing and managing a risk of death in epilepsy

      
Numéro d'application 14026998
Numéro de brevet 09504390
Statut Délivré - en vigueur
Date de dépôt 2013-09-13
Date de la première publication 2016-05-12
Date d'octroi 2016-11-29
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s) Osorio, Ivan

Abrégé

A method includes receiving cardiac data and determining a cardiac index based upon the cardiac data; determining an increased risk of death associated with epilepsy if the indices are extreme, issuing a warning of the increased risk of death and logging information related to the increased risk of death. A second method comprises receiving at least one of arousal data, responsiveness data or awareness data and determining an arousal index, a responsiveness index or an awareness index, where the indices are based on arousal data, responsiveness data or awareness data respectively; determining an increased risk of death related to epilepsy if indices are extreme values, issuing a warning of the increased risk of death and logging information related to the increased risk of death. A non-transitory computer readable program storage device encoded with instructions that, when executed by a computer, perform a method is also provided.

Classes IPC  ?

  • A61B 5/02 - Mesure du pouls, du rythme cardiaque, de la pression sanguine ou du débit sanguin; Détermination combinée du pouls, du rythme cardiaque, de la pression sanguine; Evaluation d'un état cardio-vasculaire non prévue ailleurs, p.ex. utilisant la combinaison de techniques prévues dans le présent groupe et des techniques d'électrocardiographie; Sondes cardiaques pour mesurer la pression sanguine
  • A61B 5/0205 - Evaluation simultanée de l'état cardio-vasculaire et de l'état d'autres parties du corps, p.ex. de l'état cardiaque et respiratoire
  • A61B 5/08 - Dispositifs de mesure pour examiner les organes respiratoires
  • A61B 5/021 - Mesure de la pression dans le cœur ou dans les vaisseaux sanguins
  • A61B 5/0245 - Mesure du pouls ou des pulsations cardiaques utilisant des capteurs engendrant des signaux électriques
  • A61B 5/00 - Mesure servant à établir un diagnostic ; Identification des individus
  • A61B 5/11 - Mesure du mouvement du corps entier ou de parties de celui-ci, p.ex. tremblement de la tête ou des mains ou mobilité d'un membre
  • A61N 1/39 - Défibrillateurs cardiaques
  • A61N 1/36 - Application de courants électriques par électrodes de contact courants alternatifs ou intermittents pour stimuler, p.ex. stimulateurs cardiaques
  • A61N 1/365 - Stimulateurs cardiaques commandés par un paramètre physiologique, p.ex. par le potentiel cardiaque
  • A61B 5/0452 - Détection de paramètres spécifiques du cycle de l'électrocardiogramme
  • A61B 5/16 - Dispositifs pour la psychotechnie; Test des temps de réaction
  • G06F 19/00 - Équipement ou méthodes de traitement de données ou de calcul numérique, spécialement adaptés à des applications spécifiques (spécialement adaptés à des fonctions spécifiques G06F 17/00;systèmes ou méthodes de traitement de données spécialement adaptés à des fins administratives, commerciales, financières, de gestion, de surveillance ou de prévision G06Q;informatique médicale G16H)
  • A61N 1/372 - Aménagements en relation avec l'implantation des stimulateurs

53.

E-fuse structure with methods of fusing the same and monitoring material leakage

      
Numéro d'application 14876011
Numéro de brevet 09337144
Statut Délivré - en vigueur
Date de dépôt 2015-10-06
Date de la première publication 2016-01-28
Date d'octroi 2016-05-10
Propriétaire
  • Samsung Electronics Co., LTD. (République de Corée)
  • International Business Machines Corporation (USA)
  • GlobalFoundries Inc. (Îles Caïmanes)
Inventeur(s)
  • Kwon, O Sung
  • Moy, Dan
  • Son, Kihwang
  • Zhang, Xiaoqiang

Abrégé

The present disclosure generally provides for an e-fuse structure and corresponding method for fusing the same and monitoring material leakage. The e-fuse structure can include a metal dummy structure and an electrical fuse link substantially aligned with a portion of the metal dummy structure, wherein the metal dummy structure cools at least part of the electrical fuse link in response to an electric current passing through the electrical fuse link.

Classes IPC  ?

  • H01L 23/525 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées avec des interconnexions modifiables
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 21/321 - Post-traitement
  • H01L 23/367 - Refroidissement facilité par la forme du dispositif
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

54.

22FDX

      
Numéro de série 86749096
Statut Enregistrée
Date de dépôt 2015-09-07
Date d'enregistrement 2021-09-07
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Semiconductors, processed semiconductor wafers, and integrated circuits

55.

Low-bandwidth time-embargoed content disclosure

      
Numéro d'application 14057741
Numéro de brevet 09559840
Statut Délivré - en vigueur
Date de dépôt 2013-10-18
Date de la première publication 2015-04-23
Date d'octroi 2017-01-31
Propriétaire GlobalFoundries Inc. (Îles Caïmanes)
Inventeur(s)
  • Ponceleon, Dulce B.
  • Geagan, Iii, John Bernard

Abrégé

Embodiments of the present invention relate to time delayed release of previously distributed digital content. In one embodiment, a method of and computer program product for low-bandwidth time-embargoed content disclosure are provided. A first cryptographic key is received. Encrypted content is received, encoded in a computer readable medium. A correction value is received. A predetermined function is applied to the first cryptographic key and the correction value to determine a second cryptographic key. The second cryptographic key is applied to the encrypted content to obtain decrypted content.

Classes IPC  ?

  • G06F 21/00 - Dispositions de sécurité pour protéger les calculateurs, leurs composants, les programmes ou les données contre une activité non autorisée
  • H04L 9/08 - Répartition de clés

56.

SMART SOCIAL GIFTING

      
Numéro d'application CA2014050592
Numéro de publication 2015/035512
Statut Délivré - en vigueur
Date de dépôt 2014-06-23
Date de publication 2015-03-19
Propriétaire
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
  • IBM CANADA LIMITED - IBM CANADA LIMITEE (Canada)
Inventeur(s)
  • Ng, Joanna W
  • Lau, Diana

Abrégé

In a method for utilizing social networking services of a user to perform online retail services, a processor retrieves a set of contacts, wherein the set of contacts includes a first group of contacts of a first networking service and a second group of contacts of a second networking service, wherein each contact in the set of contacts has a corresponding link to information about that contact. A processor receives a request for an action to be executed, wherein the request includes gifting information, wherein the gifting information specifies a required relationship between the user and the one or more contact of the set of contacts. A processor determines the one or more contacts that have the required relationship with the user, as specified by the gifting information. A processor causes the action to be executed based on the determined one or more contacts.

Classes IPC  ?

  • G06Q 30/06 - Transactions d’achat, de vente ou de crédit-bail
  • H04L 12/16 - Dispositions pour la fourniture de services particuliers aux abonnés

57.

CONFORMAL DOPING FOR FINFET DEVICES

      
Numéro d'application CN2014086615
Numéro de publication 2015/035956
Statut Délivré - en vigueur
Date de dépôt 2014-09-16
Date de publication 2015-03-19
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • GLOBAL FOUNDRIES INC. (Îles Caïmanes)
  • IBM (CHINA) CO., LIMITED (Chine)
Inventeur(s)
  • Basker, Veeraraghavan S.
  • Berliner, Nathaniel
  • Cho, Hyun-Jin
  • Faltermeier, Johnathan
  • Lee, Kam-Leung
  • Yamashita, Tenko

Abrégé

A conformal doping process for FinFET devices on a semiconductor substrate which includes NFET fins and PFET fins. In a first exemplary embodiment, an N-type dopant composition is conformally deposited over the NFET fins and the PFET fins. The semiconductor substrate is annealed to drive in an N-type dopant from the N-type dopant composition into the NFET fins. A P-type dopant composition is conformally deposited over the NFET fins and the PFET fins. The semiconductor substrate is annealed to drive in a P-type dopant from the P-type dopant composition into the PFET fins. In a second exemplary embodiment, one of the NFETfins and PFET fins may be covered with a first dopant composition and then a second dopant composition may cover both the NFET fins and the PFET fins followd by an anneal to drive in both dopants.

Classes IPC  ?

  • H01L 21/225 - Diffusion des impuretés, p.ex. des matériaux de dopage, des matériaux pour électrodes, à l'intérieur ou hors du corps semi-conducteur, ou entre les régions semi-conductrices; Redistribution des impuretés, p.ex. sans introduction ou sans élimination de matériau dopant supplémentaire en utilisant la diffusion dans ou hors d'un solide, à partir d'une ou en phase solide, p.ex. une couche d'oxyde dopée
  • H01L 21/336 - Transistors à effet de champ à grille isolée
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

58.

GLOBALFOUNDRIES

      
Numéro d'application 013832282
Statut Enregistrée
Date de dépôt 2015-03-13
Date d'enregistrement 2015-07-20
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 09 - Appareils et instruments scientifiques et électriques
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Semiconductors, processed wafers, and integrated circuits. Custom manufacture of semiconductors and integrated circuits. Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits.

59.

Miscellaneous Design

      
Numéro d'application 013842299
Statut Enregistrée
Date de dépôt 2015-03-13
Date d'enregistrement 2015-07-20
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Classes de Nice  ?
  • 09 - Appareils et instruments scientifiques et électriques
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Semiconductors, processed wafers, and integrated circuits. Custom manufacture of semiconductors and integrated circuits. Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits.

60.

Replacement metal gate structure for CMOS device

      
Numéro d'application 14500914
Numéro de brevet 09041118
Statut Délivré - en vigueur
Date de dépôt 2014-09-29
Date de la première publication 2015-02-26
Date d'octroi 2015-05-26
Propriétaire
  • International Business Machines Corporation (USA)
  • Global Foundries, Inc (Îles Caïmanes)
Inventeur(s)
  • Ando, Takashi
  • Choi, Kisik
  • Narayanan, Vijay

Abrégé

A CMOS device that includes an nFET portion, a pFET portion and an interlayer dielectric between the nFET portion and pFET portion. The nFET portion has a gate structure having a recess filled with a conformal high-k dielectric, a first titanium nitride layer on the high-k dielectric, a barrier layer on the first titanium nitride layer, a second titanium nitride layer in direct physical contact with the barrier layer and a gate metal filling the remainder of the recess. The pFET portion has a gate structure having a recess filled with a conformal high-k dielectric, a first titanium nitride layer on the high-k dielectric, a barrier layer on the first titanium nitride layer, a second titanium nitride layer on the barrier layer, a third titanium nitride layer in direct physical contact with the second titanium nitride layer and a gate metal filling the remainder of the recess.

Classes IPC  ?

  • H01L 21/70 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun, ou de parties constitutives spécifiques de ceux-ci; Fabrication de dispositifs à circuit intégré ou de parties constitutives spécifiques de ceux-ci
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter

61.

SYSTEM AND METHOD FOR COMMUNITY BASED MOBILE DEVICE PROFILING

      
Numéro d'application CA2014050580
Numéro de publication 2015/024109
Statut Délivré - en vigueur
Date de dépôt 2014-06-20
Date de publication 2015-02-26
Propriétaire
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
  • IBM CANADA LIMITED - IBM CANADA LIMITEE (Canada)
Inventeur(s)
  • Bartlett, Nicholas T
  • Beerse, Chelsea C
  • Claborn, David J
  • Garcowski, Jason C
  • Latham, Andrew C

Abrégé

A method, computer program product, and system is provided for community based mobile device profiling. In an implementation, a method may include receiving, via a first mobile device, a wireless signal associated with a second mobile device. The method may also include determining an identity of a user associated with the second mobile device. The method may further include establishing at least one setting of the first mobile device based upon, at least in part, a mobile device profile associated with the first mobile device and the identity of the user associated with the second mobile device.

Classes IPC  ?

  • H04W 8/20 - Transfert de données utilisateur ou abonné

62.

THREE-DIMENSIONAL PROCESSING SYSTEM HAVING MULTIPLE CACHES THAT CAN BE PARTITIONED, CONJOINED, AND MANAGED ACCORDING TO MORE THAN ONE SET OF RULES AND/OR CONFIGURATIONS

      
Numéro d'application US2014040874
Numéro de publication 2015/012960
Statut Délivré - en vigueur
Date de dépôt 2014-06-04
Date de publication 2015-01-29
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Buyuktosunoglu, Alper
  • Emma, Philip G.
  • Hartstein, Allan M.
  • Healy, Michael B.
  • Kailas, Krishnan K.

Abrégé

Three-dimensional processing systems are provided which have multiple layers of conjoined chips, wherein one or more chip layers include processor cores that share cache hierarchies over multiple chip layers. The caches can be partitioned, conjoined, and managed according to various sets of rules and configurations.

Classes IPC  ?

  • G06F 12/08 - Adressage ou affectation; Réadressage dans des systèmes de mémoires hiérarchiques, p.ex. des systèmes de mémoire virtuelle

63.

REVERSE EVENT SIGNATURE FOR IDENTIFYING HIT AND RUN VEHICLES

      
Numéro d'application 13938344
Statut En instance
Date de dépôt 2013-07-10
Date de la première publication 2015-01-15
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Baughman, Aaron K.
  • Ferre, Wilfredo
  • Malkin, Peter K.
  • Yvon, Marc P.

Abrégé

Identifying a vehicle involved in a hit-and-run accident may comprise generating a damage signature associated with a first vehicle that is left behind with collision damage in a hit-and-run accident. A reverse event signature may be generated that indicates a position of impact and severity of damage associated with a second vehicle involved in the hit-and-run accident that fled a scene of the hit-and-run accident. The generating of the reverse event signature may be based on reverse engineering the damage signature associated with the first vehicle.

Classes IPC  ?

64.

INJECTION OF A FILLER MATERIAL THROUGH IMPLOSION

      
Numéro d'application US2014034855
Numéro de publication 2014/209476
Statut Délivré - en vigueur
Date de dépôt 2014-04-22
Date de publication 2014-12-31
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Gaynes, Michael, A.
  • Lewandowski, Eric, P.
  • Nah, Jae-Woong
  • Polastre, Robert, J.

Abrégé

A method for providing a matrix material between a bonded pair of substrates with a homogeneous distribution of anisotropic filler particles is provided. Functionalized anisotropic filler particles are mixed uniformly with a matrix material to form a homogenous mixture. A bonded assembly of a first substrate and a second substrate with an array of electrical interconnect structures is placed within a vacuum environment. The homogenous mixture of the matrix material and the anisotropic filler particles is dispensed around the array of electrical interconnect structures. A gas is abruptly introduced into the vacuum environment to induce an implosion of the homogenous mixture. The implosion causes the homogenous mixture to fill the cavity between the first and second substrates without causing agglomeration of the anisotropic filler particles. The mixture filling the space between the first and second substrates has a homogenous distribution of the anisotropic filler particles.

Classes IPC  ?

  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • H01L 21/58 - Montage des dispositifs à semi-conducteurs sur des supports
  • H01L 21/603 - Fixation des fils de connexion ou d'autres pièces conductrices, devant servir à conduire le courant vers le ou hors du dispositif pendant son fonctionnement impliquant l'application d'une pression, p.ex. soudage par thermo-compression
  • H01L 21/4763 - Dépôt de couches non isolantes, p.ex. conductrices, résistives sur des couches isolantes; Post-traitement de ces couches
  • B29C 70/72 - Enrobage d'inserts avec une partie non enrobée, p.ex. extrémités ou parties terminales de composants électriques

65.

Pooling entropy to facilitate mobile device-based true random number generation

      
Numéro d'application 13916655
Numéro de brevet 09449197
Statut Délivré - en vigueur
Date de dépôt 2013-06-13
Date de la première publication 2014-12-18
Date d'octroi 2016-09-20
Propriétaire GLOBAL FOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Green, Matthew John
  • Mclean, Leigh Stuart
  • Waltenberg, Peter Theodore

Abrégé

A mobile device operating system pools any available entropy. The resulting entropy pool is stored in device memory. When storing entropy in memory, preferably memory addresses are randomly allocated to prevent an attacker from capturing entropy that might have already been used to create a random number. The stored entropy pool provides a readily-available entropy source for any entropy required by the operating system or device applications. Then, when a cryptographic application requests a true random number, the operating system checks to determine whether the pool has available entropy and, if so, a portion of the entropy is provided to enable generation (e.g., by a TRNG) of a true random number that, in turn, may then be used for some cryptographic operation. After providing the entropy, the operating system clears the address locations that were used to provide it so that another entity cannot re-use the entropy.

Classes IPC  ?

  • G06F 21/72 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du calcul ou du traitement de l’information dans les circuits de cryptographie
  • G06F 7/58 - Générateurs de nombres aléatoires ou pseudo-aléatoires

66.

POLICY ENFORCEMENT USING NATURAL LANGUAGE PROCESSING

      
Numéro d'application CA2014050362
Numéro de publication 2014/190426
Statut Délivré - en vigueur
Date de dépôt 2014-04-09
Date de publication 2014-12-04
Propriétaire
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
  • IBM CANADA LIMITED - IBM CANADA LIMITEE (Canada)
Inventeur(s)
  • Woods, Eric
  • Allen, Corville, O
  • Carrier, Scott, R

Abrégé

A term of use policy document defines permissible actions that may be implemented by a user using a computing device. A natural language processing (NLP)-based question and answer (Q&A) system is trained to understand the policy document. The device includes a management application that interacts with the Q&A system to identify a policy violation. When the user performs an action on the device, the application converts that action into an NLP query directed to the Q&A system to determine whether the action constitutes a violation. The query may be accompanied by metadata associated with the user, the device or its state. Upon receipt of the query and any associated metadata, the Q&A system determines if the user action is compliant with the policy and returns a response. Based on the response, the user's computing device may take an enforcement action, e.g., restricting or disabling functionality, or issuing a warning.

Classes IPC  ?

  • G06F 21/00 - Dispositions de sécurité pour protéger les calculateurs, leurs composants, les programmes ou les données contre une activité non autorisée
  • G06F 11/30 - Surveillance du fonctionnement
  • G06F 17/27 - Analyse automatique, p.ex. analyse grammaticale, correction orthographique

67.

MULTI-THRESHOLD VOLTAGE SCHEME FOR FULLY DEPLETED SOI MOSFETS

      
Numéro d'application US2014033181
Numéro de publication 2014/172132
Statut Délivré - en vigueur
Date de dépôt 2014-04-07
Date de publication 2014-10-23
Propriétaire
  • INTERNATIONAL BUSINESS MACHINES CORPORATION (USA)
  • STMICROELECTRONICS, INC. (USA)
  • GLOBALFOUNDRIES, INC. (Îles Caïmanes)
Inventeur(s)
  • Cheng, Kangguo
  • Doris, Bruce, B.
  • Khakifirooz, Ali
  • Liu, Qing
  • Loubet, Nicolas
  • Luning, Scott

Abrégé

A method for semiconductor fabrication includes providing (404) channel regions on a substrate including at least one Silicon Germanium (SiGe) channel region, the substrate including a plurality of regions including a first region and a second region. Gate structures are formed (406) for a first n-type field effect transistor (NFET) and a first p-type field effect transistor (PFET) in the first region and a second NFET and a second PFET in the second region, the gate structure for the first PFET being formed on the SiGe channel region. The gate structure for the first NFET includes a gate material having a first work function and the gate structures for the first PFET, second NFET and second PFET include a gate material having a second work function such that multi-threshold voltage devices are provided.

Classes IPC  ?

  • H01L 21/76 - Réalisation de régions isolantes entre les composants
  • H01L 21/8232 - Technologie à effet de champ
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS

68.

ATOMIC LAYER DEPOSITION OF HFAIC AS A METAL GATE WORKFUNCTION MATERIAL IN MOS DEVICES

      
Numéro d'application US2014023375
Numéro de publication 2014/164742
Statut Délivré - en vigueur
Date de dépôt 2014-03-11
Date de publication 2014-10-09
Propriétaire
  • INTERMOLECULAR, INC (USA)
  • GLOBALFOUNDRIES, INC. (Îles Caïmanes)
Inventeur(s)
  • Lee, Albert
  • Kim, Hoon
  • Mujumdar, Salil
  • Haywood, Edward
  • Choi, Kisik
  • Besser, Paul

Abrégé

ALD of HfxA1yCz films using hafnium chloride (HfC14) and Trimethylaluminum (TMA) precursors can be combined with post-deposition anneal processes and ALD liners to control the device characteristics in high-k metal-gate devices. Variation of the HfC14 pulse time allows for control of the A1 % incorporation in the HfxA1yCz film in the range of 10-13%. Combinatorial process tools can be employed for rapid electrical and materials characterization of various materials stacks. The effective work function (EWF) in metal oxide semiconductor capacitor (MOSCAP) devices with the HfxA1yCz work function layer coupled with ALD deposited HfO2 high-k gate dielectric layers was quantified to be mid-gap at ~4.6 eV. Thus, HfxA1yCz is a promising metal gate work function material allowing for the tuning of device threshold voltages (Vth) for anticipated multi-Vth integrated circuit (IC) devices.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 27/06 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant une pluralité de composants individuels dans une configuration non répétitive

69.

Hard mask removal during FinFET formation

      
Numéro d'application 13799508
Numéro de brevet 08895444
Statut Délivré - en vigueur
Date de dépôt 2013-03-13
Date de la première publication 2014-09-18
Date d'octroi 2014-11-25
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s) Wedlake, Michael D.

Abrégé

An approach for polishing-based hard mask removal during FinFET device formation is provided. In a typical embodiment, an initial device will be provided with a set of fins (e.g., silicon (Si)), a set of fin caps (e.g., silicon nitride (SiN)), and an oxide layer. A post-oxide planarizing and thinning polishing will first be performed (e.g., using a Silica-based slurry) to thin/reduce the oxide layer. A stop-on-nitride polishing will then be performed (e.g., using a Ceria-based slurry) to reduce the oxide layer to a top surface of the fin caps. Still yet, a stop-on-silicon polishing will be performed (e.g., using a Ceria-based slurry) to remove the set of fin caps and to reduce the oxide layer to a top surface to the set of fins.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/3105 - Post-traitement
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique

70.

HIGH PRODUCTIVITY COMBINATORIAL TECHNIQUES FOR TITANIUM NITRIDE ETCHING

      
Numéro d'application US2013077418
Numéro de publication 2014/105792
Statut Délivré - en vigueur
Date de dépôt 2013-12-23
Date de publication 2014-07-03
Propriétaire
  • INTERMOLECULAR, INC (USA)
  • GLOBALFOUNDRIES, INC (Îles Caïmanes)
Inventeur(s)
  • Foster, John
  • Metzger, Sven

Abrégé

Provided are methods of High Productivity Combinatorial testing of semiconductor substrates, each including multiple site isolated regions. Each site isolated region includes a titanium nitride structure as well as a hafnium oxide structure and/or a polysilicon structure. Each site isolated region is exposed to an etching solution that includes sulfuric acid, hydrogen peroxide, and hydrogen fluoride. The composition of the etching solution and/or etching conditions are varied among the site isolated regions to study effects of this variation on the etching selectivity of titanium nitride relative to hafnium oxide and/or polysilicon and on the etching rates. The concentration of sulfuric acid and/or hydrogen peroxide in the etching solution may be less than 7 % by volume each, while the concentration of hydrogen fluoride may be between 50 ppm and 200 ppm. In some embodiments, the temperature of the etching solution is maintained at between about 40C and 60C.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

71.

Implant damage control by in-situ C doping during sige epitaxy for device applications

      
Numéro d'application 14182242
Numéro de brevet 08790980
Statut Délivré - en vigueur
Date de dépôt 2014-02-17
Date de la première publication 2014-06-12
Date d'octroi 2014-07-29
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Liu, Jin Ping
  • Holt, Jundson Robert

Abrégé

Some example embodiments of the invention comprise methods for and semiconductor structures comprised of: a MOS transistor comprised of source/drain regions, a gate dielectric, a gate electrode, channel region; a carbon doped SiGe region that applies a stress on the channel region whereby the carbon doped SiGe region retains stress/strain on the channel region after subsequent heat processing.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

72.

Inducing channel stress in semiconductor-on-insulator devices by base substrate oxidation

      
Numéro d'application 13692069
Numéro de brevet 08815694
Statut Délivré - en vigueur
Date de dépôt 2012-12-03
Date de la première publication 2014-06-05
Date d'octroi 2014-08-26
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Cheng, Kangguo
  • Doris, Bruce B.
  • Haran, Balasubramanian S.
  • Khakifirooz, Ali
  • Kerber, Pranita

Abrégé

Embodiments include semiconductor-on-insulator (SOI) substrates having SOI layers strained by oxidation of the base substrate layer and methods of forming the same. The method may include forming a strained channel region in a semiconductor-on-insulator (SOI) substrate including a buried insulator (BOX) layer above a base substrate layer and a SOI layer above the BOX layer by first etching the SOI layer and the BOX layer to form a first isolation recess region and a second isolation recess region. A portion of the SOI layer between the first isolation recess region and the second isolation recess region defines a channel region in the SOI layer. A portion of the base substrate layer below the first isolation recess region and below the second isolation recess region may then be oxidized to form a first oxide region and a second oxide region, respectively, that apply compressive strain to the channel region.

Classes IPC  ?

73.

Cross-coupling based design using diffusion contact structures

      
Numéro d'application 13561932
Numéro de brevet 08987128
Statut Délivré - en vigueur
Date de dépôt 2012-07-30
Date de la première publication 2014-01-30
Date d'octroi 2015-03-24
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Rashed, Mahbub
  • Tarabbia, Marc
  • Nguyen, Chinh
  • Doman, David
  • Kim, Juhan
  • Qi, Xiang
  • Venkatesan, Suresh

Abrégé

An approach for providing cross-coupling-based designs using diffusion contact structures is disclosed. Embodiments include providing first and second gate structures over a substrate; providing a first gate cut region across the first gate structure, and a second gate cut region across the second gate structure; providing a first gate contact over the first gate structure, and a second gate contact over the second gate structure; and providing a diffusion contact structure between the first and second gate cut regions to couple the first gate contact to the second gate contact.

Classes IPC  ?

  • H01L 21/44 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes
  • H01L 23/52 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre
  • H01L 29/40 - Electrodes
  • G06F 17/50 - Conception assistée par ordinateur
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • H01L 27/118 - Circuits intégrés à tranche maîtresse

74.

Recessing and capping of gate structures with varying metal compositions

      
Numéro d'application 13491857
Numéro de brevet 08679909
Statut Délivré - en vigueur
Date de dépôt 2012-06-08
Date de la première publication 2013-12-12
Date d'octroi 2014-03-25
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Xie, Ruilong
  • Horak, David V.
  • Fan, Su Chen
  • Balasubramanian, Pranatharthiharan Haran

Abrégé

A method for recessing and capping metal gate structures is disclosed. Embodiments include: forming a dummy gate electrode on a substrate; forming a hard mask over the dummy gate electrode; forming spacers on opposite sides of the dummy gate electrode and the hard mask; forming an interlayer dielectric (ILD) over the substrate adjacent the spacers; forming a first trench in the ILD down to the dummy gate electrode; removing the dummy gate electrode to form a second trench below the first trench; forming a metal gate structure in the first and second trenches; and forming a gate cap over the metal gate structure.

Classes IPC  ?

  • H01L 21/338 - Transistors à effet de champ à grille Schottky

75.

PROCESS TO REMOVE NI AND NIPT METAL RESIDUES USING LOW TEMPERATURE AQUA REGIA AND SC2 CLEAN

      
Numéro d'application US2013044017
Numéro de publication 2013/184632
Statut Délivré - en vigueur
Date de dépôt 2013-06-04
Date de publication 2013-12-12
Propriétaire
  • INTERMOLECULAR, INC (USA)
  • GLOBALFOUNDRIES, INC (Îles Caïmanes)
Inventeur(s)
  • Duong, Anh
  • Clemens, Fitz
  • Karlsson, Olov

Abrégé

A method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process is disclosed, including a multi-step residue cleaning, including exposing the substrate to an aqua regia solution, followed by an exposure to a solution having hydrochloric acid and hydrogen peroxide. The SC2 solution can further react with remaining platinum residues, rendering it more soluble in an aqueous solution and thereby dissolving it from the surface of the substrate.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

76.

Semiconductor device with strain-inducing regions and method thereof

      
Numéro d'application 13953349
Numéro de brevet 08698243
Statut Délivré - en vigueur
Date de dépôt 2013-07-29
Date de la première publication 2013-11-28
Date d'octroi 2014-04-15
Propriétaire GLOBALFOUNDRIES, Inc. (Îles Caïmanes)
Inventeur(s)
  • Flachowsky, Stefan
  • Hoentschel, Jan
  • Scheiper, Thilo

Abrégé

Improved MOSFET devices are obtained by incorporating strain inducing source-drain regions whose closest facing “nose” portions underlying the gate are located at different depths from the device surface. In a preferred embodiment, the spaced-apart source-drain regions may laterally overlap. This close proximity increases the favorable impact of the strain inducing source-drain regions on the carrier mobility in an induced channel region between the source and drain. The source-drain regions are formed by epitaxially refilling asymmetric cavities etched from both sides of the gate. Cavity asymmetry is obtained by forming an initial cavity proximate only one sidewall of the gate and then etching the final spaced-apart source-drain cavities proximate both sidewalls of the gate along predetermined crystallographic directions. The finished cavities having different depths and nose regions at different heights extending toward each other under the gate, are epitaxially refilled with the strain inducing semiconductor material for the source-drain regions.

Classes IPC  ?

  • H01L 21/8242 - Structures de mémoires dynamiques à accès aléatoire (DRAM)
  • H01L 21/336 - Transistors à effet de champ à grille isolée

77.

Method for forming a self-aligned contact opening by a lateral etch

      
Numéro d'application 13471846
Numéro de brevet 08679968
Statut Délivré - en vigueur
Date de dépôt 2012-05-15
Date de la première publication 2013-11-21
Date d'octroi 2014-03-25
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Xie, Ruilong
  • Fan, Su Chen
  • Balasubramanian, Pranatharthiharan Haran
  • Horak, David Vaclav
  • Shom, Ponoth

Abrégé

A self-aligned source/drain contact formation process without spacer or cap loss is described. Embodiments include providing two gate stacks, each having spacers on opposite sides, and an interlayer dielectric (ILD) over the two gate stacks and in a space therebetween, forming a vertical contact opening within the ILD between the two gate stacks, and laterally removing ILD between the two gate stacks from the vertical contact opening toward the spacers, to form a contact hole.

Classes IPC  ?

  • H01L 21/4763 - Dépôt de couches non isolantes, p.ex. conductrices, résistives sur des couches isolantes; Post-traitement de ces couches
  • H01L 21/44 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes

78.

Critical dimension and pattern recognition structures for devices manufactured using double patterning techniques

      
Numéro d'application 13371585
Numéro de brevet 08932961
Statut Délivré - en vigueur
Date de dépôt 2012-02-13
Date de la première publication 2013-08-15
Date d'octroi 2015-01-13
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Mehta, Sohan
  • Chen, Tong Qing
  • Chauhan, Vikrant
  • Srivastava, Ravi
  • Labelle, Catherine
  • Kelling, Mark

Abrégé

An illustrative test structure is disclosed herein that includes a plurality of first line features and a plurality of second line features. In this embodiment, each of the second line features have first and second opposing ends and the first and second line features are arranged in a grating pattern such that the first ends of the first line features are aligned to define a first side of the grating structure and the second ends of the first features are aligned to define a second side of the grating structure that is opposite the first side of the grating structure. The first end of the second line features has a first end that extends beyond the first side of the grating structure while the second end of the second line features has a first end that extends beyond the second side of the grating structure.

Classes IPC  ?

  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 21/311 - Gravure des couches isolantes

79.

Semiconductor device with an oversized local contact as a Faraday shield

      
Numéro d'application 13346164
Numéro de brevet 08664717
Statut Délivré - en vigueur
Date de dépôt 2012-01-09
Date de la première publication 2013-07-11
Date d'octroi 2014-03-04
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Liu, Yanxiang
  • Teh, Young Way
  • Vakada, Vara

Abrégé

This application is directed to a semiconductor device with an oversized local contact as a Faraday shield, and methods of making such a semiconductor device. One illustrative device disclosed herein includes a transistor comprising a gate electrode and a source region, a source region conductor that is conductively coupled to the source region, a Faraday shield positioned above the source region conductor and the gate electrode and a first portion of a first primary metallization layer for an integrated circuit device positioned above and electrically coupled to the Faraday shield.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs

80.

Semiconductor device with strain-inducing regions and method thereof

      
Numéro d'application 13345457
Numéro de brevet 08524563
Statut Délivré - en vigueur
Date de dépôt 2012-01-06
Date de la première publication 2013-07-11
Date d'octroi 2013-09-03
Propriétaire GLOBALFOUNDRIES, Inc. (Îles Caïmanes)
Inventeur(s)
  • Flachowsky, Stefan
  • Hoentschel, Jan
  • Scheiper, Thilo

Abrégé

Improved MOSFET devices are obtained by incorporating strain inducing source-drain regions whose closest facing “nose” portions underlying the gate are located at different depths from the device surface. In a preferred embodiment, the spaced-apart source-drain regions may laterally overlap. This close proximity increases the favorable impact of the strain inducing source-drain regions on the carrier mobility in an induced channel region between the source and drain. The source-drain regions are formed by epitaxially refilling asymmetric cavities etched from both sides of the gate. Cavity asymmetry is obtained by forming an initial cavity proximate only one sidewall of the gate and then etching the final spaced-apart source-drain cavities proximate both sidewalls of the gate along predetermined crystallographic directions. The finished cavities having different depths and nose regions at different heights extending toward each other under the gate, are epitaxially refilled with the strain inducing semiconductor material for the source-drain regions.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

81.

High-k metal gate electrode structure formed by removing a work function on sidewalls in replacement gate technology

      
Numéro d'application 13339842
Numéro de brevet 08735236
Statut Délivré - en vigueur
Date de dépôt 2011-12-29
Date de la première publication 2013-07-04
Date d'octroi 2014-05-27
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Hempel, Klaus
  • Prindle, Christopher
  • Stephan, Rolf

Abrégé

When forming sophisticated high-k metal gate electrode structures on the basis of a replacement gate approach, the fill conditions upon filling in the highly conductive electrode metal, such as aluminum, may be enhanced by removing the final work function metal, for instance a titanium nitride material in P-channel transistors, only preserving a well-defined bottom layer.

Classes IPC  ?

  • H01L 21/338 - Transistors à effet de champ à grille Schottky

82.

PROCESS TO REMOVE NI AND PT RESIDUES FOR NIPTSI APPLICATIONS

      
Numéro d'application US2012062488
Numéro de publication 2013/074278
Statut Délivré - en vigueur
Date de dépôt 2012-10-29
Date de publication 2013-05-23
Propriétaire
  • INTERMOLECULAR, INC. (USA)
  • GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Duong, Anh
  • Barstow, Sean
  • Fitz, Clemens
  • Foster, John
  • Karlsson, Olov
  • Li, Bei
  • Mavrinac, James

Abrégé

The invention discloses a method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process. Embodiments of the invention provide a multi-step cleaning process, comprising exposing the substrate to a nitric acid solution after a first anneal, followed by an aqua regia solution after a second anneal. The substrate can be optionally exposed to a hydrochloric acid solution afterward to completely remove any remaining platinum residues.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes

83.

Canyon gate transistor and methods for its fabrication

      
Numéro d'application 13283370
Numéro de brevet 08679921
Statut Délivré - en vigueur
Date de dépôt 2011-10-27
Date de la première publication 2013-05-02
Date d'octroi 2014-03-25
Propriétaire GLOBALFOUNDRIES, Inc. (Îles Caïmanes)
Inventeur(s)
  • Flachowsky, Stefan
  • Scheiper, Thilo

Abrégé

Lithographic limitations on gate and induced channel length in MOSFETS are avoided by forming non-planar MOSFETS in a cavity extending into a semiconductor substrate. The gate insulator and channel region lie proximate a cavity sidewall having angle α preferably about ≧90 degrees with respect to the semiconductor surface. The channel length depends on the bottom depth of the cavity and the depth from the surface of a source or drain region adjacent the cavity. The corresponding drain or source lies at the cavity bottom. The cavity sidewall extends therebetween. Neither depth is lithographic dependent. Very short channels can be consistently formed, providing improved performance and manufacturing yield. Source, drain and gate connections are brought to the same surface so that complex circuits can be readily constructed. The source and drain regions are preferably formed epitaxially and strain inducing materials can be used therein to improve channel carrier mobility.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

84.

Reticle defect correction by second exposure

      
Numéro d'application 13244426
Numéro de brevet 08785112
Statut Délivré - en vigueur
Date de dépôt 2011-09-24
Date de la première publication 2013-03-28
Date d'octroi 2014-07-22
Propriétaire GLOBAL FOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s) Hotzel, Arthur

Abrégé

Correction of reticle defects, such as EUV reticle defects, is accomplished with a second exposure. Embodiments include obtaining a reticle with a first pattern corresponding to a design for a wafer pattern, detecting dark defects and/or design/OPC weak spots in the first pattern, exposing a resist covered wafer using the reticle, and exposing the wafer using a second reticle with a second pattern or a second image field with openings corresponding to the dark defects, with a repair pattern on the reticle or on another reticle, or with a programmed e-beam or laser writer.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 1/24 - Masques en réflexion; Leur préparation
  • G03F 1/72 - Réparation ou correction des défauts dans un masque
  • G03F 1/84 - Inspection

85.

Methods for fabricating integrated circuits having gate to active and gate to gate interconnects

      
Numéro d'application 13237688
Numéro de brevet 08722500
Statut Délivré - en vigueur
Date de dépôt 2011-09-20
Date de la première publication 2013-03-21
Date d'octroi 2014-05-13
Propriétaire GlobalFoundries, Inc. (Îles Caïmanes)
Inventeur(s)
  • Scheiper, Thilo
  • Flachowsky, Stefan
  • Wei, Andy

Abrégé

Methods are provided for fabricating an integrated circuit that includes gate to active contacts. One method includes processing the IC in a replacement gate technology including forming dummy gates, sidewall spacers on the dummy gates, and metal silicide contacts to active areas. A fill layer is deposited and planarized to expose the dummy gates and the dummy gates are removed. A mask is formed having an opening overlying a portion of the channel region from which the dummy gate was removed and a portion of an adjacent metal silicide contact. The fill layer and a portion of the sidewall spacers exposed through the mask opening are etched to expose a portion of the adjacent metal silicide contact. A gate electrode material is deposited overlying the channel region and exposed metal silicide contact and is planarized to form a gate electrode and a gate-to-metal silicide contact interconnect.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

86.

Methods for fabricating integrated circuits

      
Numéro d'application 13231750
Numéro de brevet 08557666
Statut Délivré - en vigueur
Date de dépôt 2011-09-13
Date de la première publication 2013-03-14
Date d'octroi 2013-10-15
Propriétaire GLOBALFOUNDRIES, Inc. (Îles Caïmanes)
Inventeur(s)
  • Wei, Andy C.
  • Baars, Peter
  • Geiss, Erik P.

Abrégé

Methods are provided for fabricating integrated circuits. One method includes etching a plurality of trenches into a silicon substrate and filling the trenches with an insulating material to delineate a plurality of spaced apart silicon fins. A layer of undoped silicon is epitaxially grown to form an upper, undoped region of the fins. Dummy gate structures are formed overlying and transverse to the plurality of fins and a back fill material fills between the dummy gate structures. The dummy gate structures are removed to expose a portion of the fins and a high-k dielectric material and a work function determining gate electrode material are deposited overlying the portion of the fins. The back fill material is removed to expose a second portion and metal silicide contacts are formed on the second portion. Conductive contacts are then formed to the work function determining material and to the metal silicide.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

87.

Method for fabricating through substrate vias in semiconductor substrate

      
Numéro d'application 13243502
Numéro de brevet 08383460
Statut Délivré - en vigueur
Date de dépôt 2011-09-23
Date de la première publication 2013-02-26
Date d'octroi 2013-02-26
Propriétaire Globalfoundries, Inc. (Îles Caïmanes)
Inventeur(s) Yim, Myung Jin

Abrégé

Methods are provided for fabricating integrated circuit systems that include forming integrated circuits in and on a semiconductor substrate. Via holes are etched into a front surface of the semiconductor substrate and are filled with a conductive material. A carrier wafer having a layer of adhesive thereon is provided and an imprinted pattern is formed in the layer of adhesive. The front surface of the semiconductor substrate is bonded to the carrier wafer with the patterned layer of adhesive. A portion of a back surface of the semiconductor substrate is removed to expose a portion of the conductive material and the thinned back surface is attached to a second substrate. The semiconductor substrate is then de-bonded from the carrier wafer.

Classes IPC  ?

  • H01L 21/00 - Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de dispositifs à semi-conducteurs ou de dispositifs à l'état solide, ou bien de leurs parties constitutives

88.

Methods of forming a dielectric cap layer on a metal gate structure

      
Numéro d'application 13205050
Numéro de brevet 08728908
Statut Délivré - en vigueur
Date de dépôt 2011-08-08
Date de la première publication 2013-02-14
Date d'octroi 2014-05-20
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Xie, Ruilong
  • Park, Chang Seo
  • Taylor, Iii, William James
  • Iacoponi, John

Abrégé

Disclosed herein are various methods of forming metal-containing insulating material regions on a metal layer of a gate structure of a semiconductor device. In one example, the method includes forming a gate structure of a transistor, the gate structure comprising at least a first metal layer, and forming a first metal-containing insulating material region in the first metal layer by performing a gas cluster ion beam process using to implant gas molecules into the first metal layer.

Classes IPC  ?

  • H01L 21/76 - Réalisation de régions isolantes entre les composants

89.

Methods of forming FinFET semiconductor devices with different fin heights

      
Numéro d'application 13439185
Numéro de brevet 08361894
Statut Délivré - en vigueur
Date de dépôt 2012-04-04
Date de la première publication 2013-01-29
Date d'octroi 2013-01-29
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Hargrove, Michael J.
  • Amarnath, Kuldeep

Abrégé

One illustrative method disclosed herein includes forming first and second FinFET devices in and above a first region and a second region of a semiconducting substrate, respectively, performing a first ion implantation process through a patterned mask layer to implant nitrogen into the second region, removing the patterned mask layer, performing a second ion implantation process to implant oxygen atoms into both the first and second regions, performing a heating process to form a layer of insulating material at least in the first region and performing at least one etching process to define at least one first fin in the first region and to define at least one second fin in the second region, the second fin being taller than the first fin.

Classes IPC  ?

  • H01L 21/425 - Bombardement par des radiations par des radiations d'énergie élevée produisant une implantation d'ions

90.

Nano-electro-mechanical DRAM cell

      
Numéro d'application 13015936
Numéro de brevet 08432723
Statut Délivré - en vigueur
Date de dépôt 2011-01-28
Date de la première publication 2012-08-02
Date d'octroi 2013-04-30
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Chang, Josephine B.
  • Chang, Leland
  • Guillorn, Michael A.
  • Li, Brian J.
  • Koester, Steven John

Abrégé

A DRAM cell and method for storing information in a dynamic random access memory using an electrostatic actuator beam to make an electrical connection between a storage capacitor and a bit line.

Classes IPC  ?

  • G11C 11/24 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des condensateurs
  • G11C 5/00 - MÉMOIRES STATIQUES - Détails de mémoires couverts par le groupe
  • G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
  • G11C 11/34 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments électriques utilisant des dispositifs à semi-conducteurs

91.

Integrated circuit system with reduced polysilicon residue and method of manufacture thereof

      
Numéro d'application 12975327
Numéro de brevet 08642475
Statut Délivré - en vigueur
Date de dépôt 2010-12-21
Date de la première publication 2012-06-21
Date d'octroi 2014-02-04
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Hu, Xiang
  • Wang, Helen
  • Sheikh, Arifuzzaman (arif)
  • Hichri, Habib
  • Wise, Richard

Abrégé

A method of manufacturing an integrated circuit system includes: providing a substrate; forming a polysilicon layer over the substrate; forming an anti-reflective coating layer over the polysilicon layer; etching an anti-reflective coating pattern into the anti-reflective coating layer leaving an anti-reflective coating residue over the polysilicon layer; and etching the anti-reflective coating residue with an etchant gas mixture comprising hydrogen bromide, chlorine, and oxygen to remove the anti-reflective coating residue for mitigating the formation of a polysilicon protrusion.

Classes IPC  ?

92.

APPARATUS AND METHOD FOR OPTIMIZED POWER CELL SYNTHESIZER

      
Numéro d'application US2010058927
Numéro de publication 2012/074533
Statut Délivré - en vigueur
Date de dépôt 2010-12-03
Date de publication 2012-06-07
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Victory, James
  • Cordovez, Juan

Abrégé

Apparatus for providing semiconductor device with an analysis module to receive device information, a G-function processor producing an ordered relationship representation corresponding to an optimization parameter specification, and a power cell optimizer to produce an optimization parameter from the ordered relationship representation. A method for designing a semiconductor device includes receiving an optimization target specification; receiving an optimization parameter specification corresponding to an optimization parameter; receiving a the target parameter; receiving a G-function corresponding to an ordered relationship representation; optimizing the optimization parameter specification as a function of the predetermined G-function; and producing at least one optimized geometric layout parameter (GLP) by the optimizing, wherein the at least one GLP corresponds to an optimized power cell.

Classes IPC  ?

  • G06F 17/50 - Conception assistée par ordinateur

93.

GF

      
Numéro d'application 157036200
Statut Enregistrée
Date de dépôt 2012-03-20
Date d'enregistrement 2018-04-10
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

(1) Custom manufacture of semiconductors and integrated circuits; custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits.

94.

Method, system and apparatus for automated termination of a therapy for an epileptic event upon a determination of effects of a therapy

      
Numéro d'application 13280178
Numéro de brevet 09533147
Statut Délivré - en vigueur
Date de dépôt 2011-10-24
Date de la première publication 2012-02-23
Date d'octroi 2017-01-03
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s) Osorio, Ivan

Abrégé

A method comprising detecting an epileptic event in a patient; applying an electrical therapy to a first target area in at least one of a brain region or a cranial nerve of said patient in response to said detecting; receiving a body signal responsive to the electrical therapy, wherein said body signal is selected from an autonomic signal, a neurologic signal, a metabolic signal, an endocrine signal, or a tissue stress marker signal; determining whether said body signal indicates that said electrical therapy has an efficacious effect; and terminating the application of said electrical therapy if the response indicates that the electrical therapy has an efficacious effect. An apparatus capable of performing the method. A non-transitive, computer-readable storage device for storing data that when executed by a processor, perform the method.

Classes IPC  ?

  • A61B 5/00 - Mesure servant à établir un diagnostic ; Identification des individus
  • A61N 1/36 - Application de courants électriques par électrodes de contact courants alternatifs ou intermittents pour stimuler, p.ex. stimulateurs cardiaques
  • A61N 1/05 - Electrodes à implanter ou à introduire dans le corps, p.ex. électrode cardiaque
  • A61N 1/362 - Stimulateurs cardiaques

95.

GF

      
Numéro d'application 010534477
Statut Enregistrée
Date de dépôt 2011-12-30
Date d'enregistrement 2012-05-10
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits. Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits.

96.

GF

      
Numéro de série 85467039
Statut Enregistrée
Date de dépôt 2011-11-08
Date d'enregistrement 2015-12-22
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

97.

Implant damage control by in-situ C doping during sige epitaxy for device applications

      
Numéro d'application 13113107
Numéro de brevet 08652892
Statut Délivré - en vigueur
Date de dépôt 2011-05-23
Date de la première publication 2011-09-15
Date d'octroi 2014-02-18
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Inventeur(s)
  • Liu, Jin Ping
  • Holt, Judson Robert

Abrégé

Some example embodiments of the invention comprise methods for and semiconductor structures comprised of: a MOS transistor comprised of source/drain regions, a gate dielectric, a gate electrode, channel region; a carbon doped SiGe region that applies a stress on the channel region whereby the carbon doped SiGe region retains stress/strain on the channel region after subsequent heat processing.

Classes IPC  ?

  • H01L 21/336 - Transistors à effet de champ à grille isolée

98.

BCDLITE

      
Numéro de série 85415680
Statut Enregistrée
Date de dépôt 2011-09-06
Date d'enregistrement 2017-03-21
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ? 09 - Appareils et instruments scientifiques et électriques

Produits et services

Semiconductors, processed semi-conductor wafers, and integrated circuits

99.

BCDLITE

      
Numéro de série 85415714
Statut Enregistrée
Date de dépôt 2011-09-06
Date d'enregistrement 2012-12-04
Propriétaire GLOBALFOUNDRIES INC. (Îles Caïmanes)
Classes de Nice  ?
  • 40 - Traitement de matériaux; recyclage, purification de l'air et traitement de l'eau
  • 42 - Services scientifiques, technologiques et industriels, recherche et conception

Produits et services

Custom manufacture of semiconductors and integrated circuits Custom design, engineering and testing for new product development of semiconductors and integrated circuits; technology consultation services regarding semiconductors and integrated circuits

100.

Apparatus and method for separating solids from a solids laden drilling fluid

      
Numéro d'application 13039933
Numéro de brevet 09199278
Statut Délivré - en vigueur
Date de dépôt 2011-03-03
Date de la première publication 2011-09-01
Date d'octroi 2015-12-01
Propriétaire GLOBALFOUNDRIES Inc. (Îles Caïmanes)
Inventeur(s)
  • Scott, Eric
  • Burnett, George Alexander
  • El Dorry, Khaled
  • Ronquillo, Nahum

Abrégé

A method for operating a shale shaker to separate solids from a solids laden fluid, the shale shaker comprising a basket for holding at least one screen and a vibratory mechanism for vibrating the basket to an acceleration value, the method comprising the steps of monitoring the magnitude of the load of solids laden fluid in the basket and adjusting the acceleration value induced in the basket according to the magnitude of the load, wherein the acceleration is stepped down to a low acceleration value below a low load threshold.

Classes IPC  ?

  • B07B 1/42 - Mécanismes d'entraînement, dispositifs de régulation ou de commande, ou dispositifs d'équilibrage, spécialement adaptés aux tamis
  • B01D 33/03 - Filtres avec éléments filtrants mobiles au cours de l'opération de filtration à éléments filtrants mobiles en translation, p.ex. pistons avec des éléments filtrants vibrants
  • B07B 1/28 - Tamis mobiles non prévus ailleurs, p.ex. à oscillations, à mouvement alternatif, à balancement, à basculement ou à vacillement
  • B07B 1/46 - Eléments de structure constitutifs des tamis en général; Nettoyage ou chauffage des tamis
  • B07B 13/18 - Commande
  1     2     3        Prochaine page