Xilinx, Inc.

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 3 515 pour Xilinx, Inc. Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Affiner par Reset Report
Date
Nouveautés (dernières 4 semaines) 15
2024 avril (MACJ) 11
2024 mars 7
2024 février 15
2024 janvier 18
Voir plus
Classe IPC
G06F 17/50 - Conception assistée par ordinateur 607
H03K 19/177 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle 163
H03K 19/173 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants 145
G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux 112
H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide 91
Voir plus
Statut
En Instance 131
Enregistré / En vigueur 3 384
Résultats pour  brevets
  1     2     3     ...     36        Prochaine page

1.

LOW POWER DRIVER SCHEME FOR ON-CHIP AND INTERPOSER BASED DATA TRANSMISSION

      
Numéro d'application 17964762
Statut En instance
Date de dépôt 2022-10-12
Date de la première publication 2024-04-18
Propriétaire XILINX, INC. (USA)
Inventeur(s) Dubey, Hari Bilash

Abrégé

Signal routing and EMIR requirements are causing increased demand for metal resources. The cost of metal resources is also an issue. The design and sign-off of on-chip drivers for driving signals from one chip location to another is complicated by requirements for power integrity and signal routing. This disclosure addresses routing resource bottlenecks and power requirements by introducing a low power driver useable in a high speed SERDES scheme. A voltage clipping high speed and low swing driver is disclosed. Threshold switching voltage of the transmitted signal is controlled by a process and temperature compensated biasing scheme. A reference voltage generation circuitry along with a simple receiver demonstrates the capability of this receiver. This transceiver scheme can be used on an on-chip or off-chip SERDES application to send/receive low speed signals serially. Use of this novel technique addresses the metal resource issue along with EMIR and SIPI requirements.

Classes IPC  ?

  • H03K 17/687 - Commutation ou ouverture de porte électronique, c. à d. par d'autres moyens que la fermeture et l'ouverture de contacts caractérisée par l'utilisation de composants spécifiés par l'utilisation, comme éléments actifs, de dispositifs à semi-conducteurs les dispositifs étant des transistors à effet de champ

2.

Forming and/or configuring stacked dies

      
Numéro d'application 17354927
Numéro de brevet 11961823
Statut Délivré - en vigueur
Date de dépôt 2021-06-22
Date de la première publication 2024-04-16
Date d'octroi 2024-04-16
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Jain, Praful
  • Voogel, Martin
  • Gaide, Brian

Abrégé

Examples described herein generally relate to forming and/or configuring a die stack in a multi-chip device. An example is a method of forming a multi-chip device. Dies are formed. At least two or more of the dies are interchangeable. Characteristics of the at least two or more of the dies that are interchangeable are determined. A die stack comprising the at least two or more of the dies that are interchangeable is formed. Respective placements within the die stack of the at least two or more of the dies that are interchangeable are based on the characteristics.

Classes IPC  ?

  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

3.

SWITCHING BETWEEN REDUNDANT AND NON-REDUNDANT MODES OF SOFTWARE EXECUTION

      
Numéro d'application 17962093
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-04-11
Propriétaire Xilinx, Inc. (USA)
Inventeur(s) Bhardwaj, Pramod Bindumadhav

Abrégé

Executing critical and non-critical sections of program code include executing a non-critical section of a first program by a first processor and executing a non-critical section of a second program by a second processor. The first processor signals the second processor with context to commence redundant execution of the critical section. The second processor switches from executing the second program to executing the critical section of the first program. The first processor executes the critical section of the first program concurrent with the second processor.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation

4.

DECOUPLING CAPACITOR PARAMETER DETERMINATION FOR A POWER DISTRIBUTION NETWORK

      
Numéro d'application 17958249
Statut En instance
Date de dépôt 2022-09-30
Date de la première publication 2024-04-11
Propriétaire XILINX, INC. (USA)
Inventeur(s) Shepston, Shad

Abrégé

A circuit analysis system performs a method for analyzing a power distribution network by determining a first S-parameter model for a first circuit element of the power distribution network. The first circuit element includes first ports that are coupled to first decoupling capacitors. Each of the first decoupling capacitors is associated with a respective first decoupling capacitor S-parameter model. The first S-parameter model is combined with one or more of the first decoupling capacitor S-parameter models to generate a combined S-parameter model for the power distribution network. Further, an impedance profile for the power distribution network is determined based on the combined S-parameter model.

Classes IPC  ?

  • G06F 30/367 - Vérification de la conception, p.ex. par simulation, programme de simulation avec emphase de circuit intégré [SPICE], méthodes directes ou de relaxation

5.

MULTIPLIER BLOCK FOR BLOCK FLOATING POINT AND FLOATING POINT VALUES

      
Numéro d'application 17960693
Statut En instance
Date de dépôt 2022-10-05
Date de la première publication 2024-04-11
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • James-Roxby, Philip Bryn
  • Dellinger, Eric F
  • Fraser, Nicholas James

Abrégé

A mode control circuit operates a circuit arrangement in either a first mode to multiply floating point operands or a second mode to compute a dot product of two vectors of block floating point values. A block of multiplier circuits generates products from first pairs of p-terms. Each p-term is a portion of a significand of one of the floating point operands when operating in the first mode, or a significand of one of the block floating point values when operating in the second mode. An adder tree that is coupled to the block of multiplier circuits sums the products into a final sum. A floating point conversion circuit is configured to generate a floating point value from the final sum and the floating point operands in response to operating in the first mode, and generate a block floating point value from the final sum in response to operating in the second mode.

Classes IPC  ?

  • G06F 7/487 - Multiplication; Division
  • G06F 7/485 - Addition; Soustraction
  • G06F 7/544 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p.ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul

6.

INTEGRATED CIRCUIT TRANSACTION REDUNDANCY

      
Numéro d'application 17957418
Statut En instance
Date de dépôt 2022-09-30
Date de la première publication 2024-04-04
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Srinivasan, Krishnan
  • Arbel, Ygal
  • Ahmad, Sagheer
  • Azad, Sarosh I.
  • Bhardwaj, Pramod
  • Chen, Yanran
  • Murray, James

Abrégé

Techniques to provide transaction redundancy in an IC include receiving an original memory access request directed to a first memory aperture, constructing a redundant memory access directed to a second memory aperture, and selectively returning a response of the first or second memory aperture to an originator based on contents of the responses. For a write operation, if acknowledgement indicators of the responses indicate success, a response is returned to the originator. For a read operation, if acknowledgement indicators of the responses indicate success and data returned in the response match one another, a response is returned to the originator. If the acknowledgement indicators indicate success, but the data does not match, a retry of the original and redundant read requests is initiated. If any of the acknowledgement indicators do not indicate success, an error is declared. In a mixed-criticality embodiment, the redundant memory access request may be constructed selectively.

Classes IPC  ?

  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire
  • G06F 11/07 - Réaction à l'apparition d'un défaut, p.ex. tolérance de certains défauts

7.

PIM CANCELLATION ARCHITECTURE

      
Numéro d'application 17959079
Statut En instance
Date de dépôt 2022-10-03
Date de la première publication 2024-04-04
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Zhao, Hongzhi
  • Erdmann, Christophe
  • Parekh, Hemang M.
  • Zhao, Xing
  • Chen, Xiaohan

Abrégé

Embodiments herein describe a PIM correction circuit. In a base station, TX and RX RF changes, band pass filters, duplexers, and diplexers can have severe memory effects due to their sharp transition bandwidth from pass band to stop band. PIM interference, generated by the TX signals and reflected onto the RX RF chain will include these memory effects. These memory effects make PIM cancellation complex, requiring complicated computations and circuits. However, the embodiments herein use a PIM correction circuit that separates the memory effects of the TX and RX paths from the memory effects of PIM, thereby reducing PIM cancellation complexity and hardware implementation cost.

Classes IPC  ?

  • H04B 1/12 - Montages de neutralisation, d'équilibrage ou de compensation
  • H04L 27/01 - Egaliseurs

8.

SATISFYING CIRCUIT DESIGN CONSTRAINTS USING A COMBINATION OF MACHINE LEARNING MODELS

      
Numéro d'application 17959038
Statut En instance
Date de dépôt 2022-10-03
Date de la première publication 2024-04-04
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Bachina, Satish
  • P, Karthic
  • Tripathi, Vishal
  • Dasasathyan, Srinivasan

Abrégé

Multiple classifier models are applied to features of a circuit design after processing the design through a first phase of an implementation flow. Each classifier model is associated with one of multiple directives, the directives are associated with a second phase of the implementation flow, and each classifier model returns a value indicative of likelihood of improving a quality metric. Regressor models of each set of a plurality of sets of regressor models are applied to the features. Each directive is associated with one of the sets of regressor models, and a combined score from each set of regressor models indicates a likelihood of satisfying a constraint. The directives are ranked based on the values indicated by the classifier models and scores from the sets of regressor models, and the circuit design is processed n the second phase of the implementation flow by the design tool using the directive having the highest rank.

Classes IPC  ?

  • G06F 30/32 - Conception de circuits au niveau numérique

9.

NOC BUFFER MANAGEMENT FOR VIRTUAL CHANNELS

      
Numéro d'application 17959903
Statut En instance
Date de dépôt 2022-10-04
Date de la première publication 2024-04-04
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Srinivasan, Krishnan
  • Morshed, Abbas
  • Ahmad, Sagheer

Abrégé

Embodiments herein describe a NoC where its internal switches have buffers with pods that can be assigned to different virtual channels. A subset of the pods in a buffer can be grouped together to form a VC. In this manner, different pod groups in a buffer can be assigned to different VCs (or to different types of NoC data units), where VCs that transmit wider data units can be assigned more pods than VCs that transmit narrower data units.

Classes IPC  ?

10.

Integrated circuit package with voltage droop mitigation

      
Numéro d'application 17357089
Numéro de brevet 11950358
Statut Délivré - en vigueur
Date de dépôt 2021-06-24
Date de la première publication 2024-04-02
Date d'octroi 2024-04-02
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Lambrecht, Frank Peter
  • Philofsky, Brian D.
  • Shi, Hong
  • Raha, Prasun

Abrégé

A semiconductor device system comprises an integrated circuit (IC) die. The IC die is configured to operate in a first operating mode during a first period, and a second operating mode during a second period. The first period is associated with enabling an element of the IC die and a first amount of voltage droop. The second period occurs after the first period and is associated with a second amount of voltage droop. The second amount of voltage droop is less than the first amount of voltage droop.

Classes IPC  ?

11.

Polyphase filter control scheme for fractional resampler systems

      
Numéro d'application 17320539
Numéro de brevet 11949395
Statut Délivré - en vigueur
Date de dépôt 2021-05-14
Date de la première publication 2024-04-02
Date d'octroi 2024-04-02
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Wade, Rhona
  • Mcgrath, John Edward

Abrégé

Embodiments herein describe a hardened fractional resampler that includes a fixed filter that supports simultaneous processing of N input samples with minimal additional combinational logic and no additional multipliers. In one embodiment, the fractional resampler is implemented in an integrated circuit using hardened circuit. The embodiments below exploit a pattern in the order filter phases in fractional resampling systems (such as a SSR resampling system) to use filter phases in a single fixed filter to process multiple input samples in parallel, where these filter phases would have been unused in previous resampling systems.

Classes IPC  ?

  • H03M 7/00 - Conversion d'un code, dans lequel l'information est représentée par une séquence donnée ou par un nombre de chiffres, en un code dans lequel la même information est représentée par une séquence ou par un nombre de chiffres différents
  • H03H 17/02 - Réseaux sélecteurs de fréquence
  • H03M 1/12 - Convertisseurs analogiques/numériques

12.

CLOCK TREE ROUTING IN A CHIP STACK

      
Numéro d'application 18521301
Statut En instance
Date de dépôt 2023-11-28
Date de la première publication 2024-03-28
Propriétaire XILINX, INC. (USA)
Inventeur(s) Gaide, Brian C.

Abrégé

Examples described herein generally relate to clock tree routing in a chip stack. In an example, a multi-chip device includes a chip stack. The chip stack includes chips. The chip stack includes a clock tree. In-chip routing of the clock tree is contained within one logical chip of the chip stack. The chip stack includes leaf nodes disposed in respective chips. Each leaf node of the leaf nodes is electrically connected to the clock tree through a respective leaf-level connection bridge. The respective leaf-level connection bridge extends in an out-of-chip direction through a plurality of the chips.

Classes IPC  ?

  • G06F 1/10 - Répartition des signaux d'horloge
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

13.

YIELD RECOVERY SCHEME FOR MEMORY

      
Numéro d'application 17950022
Statut En instance
Date de dépôt 2022-09-21
Date de la première publication 2024-03-21
Propriétaire XILINX, INC. (USA)
Inventeur(s) Gaide, Brian C.

Abrégé

A yield recovery scheme for configuration memory of an IC device includes asserting an override configuration value on a bitline of memory cells of the configuration memory, where a data node of a faulty one of the memory cells is coupled to a node of configurable circuitry of the IC device, and asserting a wordline of the faulty memory cell while the override configuration value is asserted on the bitline to couple the bitline to the node of the configurable circuitry through the faulty memory cell (i.e., to force a state of the data node to the override configuration value). An identifier of the faulty memory cell may be stored on the IC device (e.g., E-fuses), and control circuitry of the IC device may retrieve the identifier to configure override circuitry of the IC device.

Classes IPC  ?

  • G11C 11/4094 - Circuits de commande ou de gestion de lignes de bits
  • G11C 11/408 - Circuits d'adressage
  • G11C 11/4093 - Dispositions d'interface d'entrée/sortie [E/S, I/O] de données, p.ex. mémoires tampon de données

14.

IMPLEMENTING DATA FLOWS OF AN APPLICATION ACROSS A MEMORY HIERARCHY OF A DATA PROCESSING ARRAY

      
Numéro d'application 17934153
Statut En instance
Date de dépôt 2022-09-21
Date de la première publication 2024-03-21
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Hsu, Chia-Jui
  • Sivaraman, Mukund
  • Kathail, Vinod K.

Abrégé

Implementing data flows of an application across a memory hierarchy of a data processing array includes receiving a data flow graph specifying an application for execution on the data processing array. A plurality of buffer objects corresponding to a plurality of different levels of the memory hierarchy of the data processing array and an external memory are identified. The plurality of buffer objects specify data flows. Buffer object parameters are determined. The buffer object parameters define properties of the data flows. Data that configures the data processing array to implement the data flows among the plurality of different levels of the memory hierarchy and the external memory is generated based on the plurality of buffer objects and the buffer object parameters.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

15.

Error aware module redundancy for machine learning

      
Numéro d'application 17094598
Numéro de brevet 11934932
Statut Délivré - en vigueur
Date de dépôt 2020-11-10
Date de la première publication 2024-03-19
Date d'octroi 2024-03-19
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Gambardella, Giulio
  • Fraser, Nicholas
  • Zahid, Ussama
  • Blott, Michaela
  • Vissers, Kornelis A.

Abrégé

Examples herein propose operating redundant ML models which have been trained using a boosting technique that considers hardware faults. The embodiments herein describe performing an evaluation process where the performance of a first ML model is measured in the presence of a hardware fault. The errors introduced by the hardware fault can then be used to train a second ML model. In one embodiment, a second evaluation process is performed where the combined performance of both the first and second trained ML models is measured in the presence of a hardware fault. The resulting errors can then be used when training a third ML model. In this manner, the three trained ML models are trained to be error aware. As a result, during operation, if a hardware fault occurs, the three ML models have better performance relative to three ML models that where not trained to be error aware.

Classes IPC  ?

  • G06N 20/20 - Techniques d’ensemble en apprentissage automatique
  • G06F 11/16 - Détection ou correction d'erreur dans une donnée par redondance dans le matériel
  • G06N 3/045 - Combinaisons de réseaux
  • G06N 3/08 - Méthodes d'apprentissage

16.

MULTIPLE PARTITIONS IN A DATA PROCESSING ARRAY

      
Numéro d'application 18509128
Statut En instance
Date de dépôt 2023-11-14
Date de la première publication 2024-03-14
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Serra, Juan J. Noguera
  • Tuan, Tim
  • Rodriguez, Javier Cabezas
  • Clarke, David
  • Mccolgan, Peter
  • Dickman, Zachary Blaise
  • Mathur, Saurabh
  • Kasibhatla, Amarnath
  • Quesada, Francisco Barat

Abrégé

An apparatus includes a data processing array having a plurality of array tiles. The plurality of array tiles include a plurality of compute tiles. The compute tiles include a core coupled to a random-access memory (RAM) in a same compute tile and to a RAM of at least one other compute tile. The data processing array is subdivided into a plurality of partitions. Each partition includes a plurality of array tiles including at least one of the plurality of compute tiles. The apparatus includes a plurality of clock gate circuits being programmable to selectively gate a clock signal provided to a respective one of the plurality of partitions.

Classes IPC  ?

  • H03K 19/1776 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels des ressources de configuration pour les mémoires
  • G11C 5/02 - Disposition d'éléments d'emmagasinage, p.ex. sous la forme d'une matrice
  • H03K 19/17764 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels des ressources de configuration pour la fiabilité
  • H03K 19/17784 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels pour l'adaptation des paramètres physiques pour la tension d'alimentation

17.

Flexible data-driven software control of reconfigurable platforms

      
Numéro d'application 17170427
Numéro de brevet 11922223
Statut Délivré - en vigueur
Date de dépôt 2021-02-08
Date de la première publication 2024-03-05
Date d'octroi 2024-03-05
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Donlin, Adam P.
  • Corbett, Kyle
  • Hou, Lizhi
  • Kain, Julian M.

Abrégé

Control of a reconfigurable platform can include determining, by a host computer, an interface universally unique identifier (UUID) of an interface of platform circuitry implemented on an accelerator, wherein the accelerator is communicatively linked to the host computer. An electronic request to run a partition design on the accelerator is received by the host computer. In response to the electronic request, the host computer determines an interface UUID for an interface of the partition design and determines compatibility of the partition design with the platform circuitry based on a comparison of the interface UUID of the partition design with the interface UUID of the platform circuitry. The partition design is implemented on the accelerator in response to determining that the partition design is compatible with the platform circuitry.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 9/38 - Exécution simultanée d'instructions
  • H04L 9/06 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité l'appareil de chiffrement utilisant des registres à décalage ou des mémoires pour le codage par blocs, p.ex. système DES

18.

DTC nonlinearity correction

      
Numéro d'application 18102066
Numéro de brevet 11923857
Statut Délivré - en vigueur
Date de dépôt 2023-01-26
Date de la première publication 2024-03-05
Date d'octroi 2024-03-05
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Zhang, Hongtao
  • Jain, Ankur
  • Chen, Yanfei
  • Casey, Ronan Sean
  • Lin, Winson
  • Im, Hsung Jai

Abrégé

Embodiments herein describe correcting nonlinearity in a Digital-to-Time Converter (DTC) by relaxing a DTC linearity requirement, which results in the correction being co-adapted with a DTC gain calibration loop which can operate in parallel with a DTC integral nonlinearity (INL) correction loop. In one embodiment, the DTC gain calibration loop and the DTC INL correction loop are constrained when determining a nonlinearity correction code to improve the likelihood they converge. Once determined, the nonlinearity correction code can be combined with an digital code output by a time-to-digital converter (TDC) to generate a phase difference between a reference clock and a feedback clock.

Classes IPC  ?

  • H03L 7/08 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase
  • H03L 7/099 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase concernant principalement l'oscillateur commandé de la boucle
  • H03M 1/82 - Convertisseurs numériques/analogiques avec conversion intermédiaire en intervalle de temps

19.

FRACTIONAL LOGARITHMIC NUMBER SYSTEM ADDER

      
Numéro d'application 17894873
Statut En instance
Date de dépôt 2022-08-24
Date de la première publication 2024-02-29
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Wang, Erwei
  • Bayliss, Samuel Richard
  • James-Roxby, Philip

Abrégé

An adder for fractional logarithmic number system (FLNS) format operands includes a compare-and-swap circuit that inputs first and second FLNS operands represented by fixed point values and provides a greater one as operand x and a lesser or equal one as operand y. Sign bits are sx and sy of x and y, respectively, qx and qy, are integer portions of x and y, respectively, fraction portions of x and y have integer values rx and ry, respectively. The compare-and-swap circuit is configured to provide sx as a sign bit, sz of a sum z=x(1+y/x) for x≠0. A subtraction circuit subtracts (qy+ry/n)−(qx+rx/n) and outputs qα and rα, such that α=y/x, where n=2wr and wr is a bit-width of rx and ry. An approximation circuit provides an approximation of (1+α) to a nearest FLNS value, β, as fixed point value having an integer portion qβ and a fraction portion that has an integer value rβ. A summing circuit adds qx+rx/n+qβ+rβ/n in response to sx=sy, and subtracts qx+rx/n−qβ−rβ/n in response to sx≠sy, to provide the sum as a fixed point value having an integer portion qz and a fraction portion that as an integer has a value rz.

Classes IPC  ?

  • G06F 7/483 - Calculs avec des nombres représentés par une combinaison non linéaire de nombres codés, p.ex. nombres rationnels, système de numération logarithmique ou nombres à virgule flottante
  • G06F 7/499 - Maniement de valeur ou d'exception, p.ex. arrondi ou dépassement

20.

INSTRUCTION GENERATION AND PROGRAMMING MODEL FOR A DATA PROCESSING ARRAY AND MICROCONTROLLER

      
Numéro d'application 17823902
Statut En instance
Date de dépôt 2022-08-31
Date de la première publication 2024-02-29
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Tuyls, Jorn
  • Teng, Xiao
  • Pandit, Sanket
  • Patwari, Rajeev
  • Zhou, Qian
  • Ghasemi, Ehsan
  • Wu, Ephrem C.
  • Delaye, Elliott
  • Ng, Aaron

Abrégé

Instruction generation for a data processing array and microcontroller includes generating a tensor-level intermediate representation from a machine learning model using kernel expressions. Statements of the tensor-level intermediate representation are partitioned into a first set of statements and a second set of statements. From the first set of statements, kernel instructions are generated based on a reconfigurable neural engine model. The kernel instructions are executable by a compute tile of a data processing array to implement compute functions of the machine learning model. From the set of second statements, microcontroller instructions are generated based on a super-graph model. The microcontroller instructions are executable by a microcontroller of the data processing array to move data into and out from the data processing array.

Classes IPC  ?

  • G05B 19/042 - Commande à programme autre que la commande numérique, c.à d. dans des automatismes à séquence ou dans des automates à logique utilisant des processeurs numériques

21.

CHIP PACKAGE WITH INTEGRATED EMBEDDED OFF-DIE INDUCTORS

      
Numéro d'application 17896972
Statut En instance
Date de dépôt 2022-08-26
Date de la première publication 2024-02-29
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Shi, Hong
  • Weng, Li-Sheng
  • Lambrecht, Frank Peter
  • Jing, Jing
  • Wu, Shuxian

Abrégé

A chip package and method for fabricating the same are provided that includes embedded off-die inductors coupled in series. One of the off-die inductors is disposed in a redistribution layer formed on a bottom surface of an integrated circuit (IC) die. The other of the series connected off-die inductors is disposed in a substrate of the chip package. The substrate may be either an interposer or a package substrate.

Classes IPC  ?

  • H01L 23/64 - Dispositions relatives à l'impédance
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/498 - Connexions électriques sur des substrats isolants

22.

Memory self-refresh re-entry state

      
Numéro d'application 17377016
Numéro de brevet 11914905
Statut Délivré - en vigueur
Date de dépôt 2021-07-15
Date de la première publication 2024-02-27
Date d'octroi 2024-02-27
Propriétaire XILINX, INC. (USA)
Inventeur(s) Newman, Martin

Abrégé

Examples describe memory refresh operations for memory subsystems. One example is a method for a memory controller, the method including entering a first state upon exiting self-refresh state, wherein the first state comprises activating a first timer. The method includes entering a second state from the first state upon detecting an end of an active period and detecting that the first timer has not expired. The method includes entering a third state from the second state upon detecting expiration of the second state, wherein the third state comprises re-entering the self-refresh state.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire

23.

HOST ENDPOINT ADAPTIVE COMPUTE COMPOSABILITY

      
Numéro d'application 17892955
Statut En instance
Date de dépôt 2022-08-22
Date de la première publication 2024-02-22
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Dastidar, Jaideep
  • Mittal, Millind

Abrégé

Embodiments herein describe a processor system that includes an integrated, adaptive accelerator. In one embodiment, the processor system includes multiple core complex chiplets that each contain one or processing cores for a host CPU. In addition the processor system includes an accelerator chiplet. The processor system can assign one or more of the core complex chiplets to the accelerator chiplet to form an IO device while the remaining core complex chiplets form the CPU for the host. In this manner, rather than the accelerator and the CPU having independent computer resources, the accelerator can be integrated into the processor system of the host so that hardware resources can be divided between the CPU and the accelerator depending on the needs of the particular application(s) executed by the host.

Classes IPC  ?

  • G06F 15/78 - Architectures de calculateurs universels à programmes enregistrés comprenant une seule unité centrale

24.

SYSTEMS AND METHODS TO EXTRACT BEAMFORMING PARAMETERS AT A RADIO UNIT (RU) OF A RADIO ACCESS NETWORK (RAN)

      
Numéro d'application 17890134
Statut En instance
Date de dépôt 2022-08-17
Date de la première publication 2024-02-22
Propriétaire XILINX, INC. (USA)
Inventeur(s) Ruan, Ming

Abrégé

Embodiments herein describe a radio unit (RU) of a radio access network (RAN), that extracts payload data and beamforming parameters from matrices received from a base station based on format parameters of the matrices and format parameters of channel state information resource signal resource elements (CSI-RS REs). The matrices include a payload matrix and first and second bit mask matrices. Locations of CSI-RS REs are determined based on the bit mask matrices. The payload matrix is separated into CSI-RS RE and non-CSI-RS RE payload matrices based on the locations of the CSI-RS REs. CSI-RS REs and the non-CSI-RS REs beamforming weight matrices are recovered from the bit mask matrices based on known features of the CSI-RS REs and the bit mask matrices. Digital downlink beamforming is performed based on the recovered payload matrices and beamforming weight matrices.

Classes IPC  ?

  • H04B 7/06 - Systèmes de diversité; Systèmes à plusieurs antennes, c. à d. émission ou réception utilisant plusieurs antennes utilisant plusieurs antennes indépendantes espacées à la station d'émission

25.

SOFTMAX AND LOG SOFTMAX METHOD AND SYSTEM

      
Numéro d'application 17892852
Statut En instance
Date de dépôt 2022-08-22
Date de la première publication 2024-02-22
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Yang, Wenzong
  • Xi, Wang
  • Li, Yadong
  • Wang, Junbin
  • Fang, Shaoxia

Abrégé

Circuits and methods for determining a maximum bias for computing softmax on a tensor include a processor circuit configured to transform in parallel, elements of each group of a plurality of groups of elements of a tensor X into respective power-of-two elements. The respective power-of-two element from element xt of the tensor is pt, pt=(xt*log2e), and pt has an integer part and a fraction part. A first comparison circuit (204) is configured to determine respective group-level biases for the groups. The group-level bias of groupm is dm, and dm is an integer part of a maximum of the power-of-two elements of groupm. A second comparison circuit is configured to determine a greatest one of the respective group-level biases to be a tensor-level bias, dmax.

Classes IPC  ?

  • G06F 17/16 - Calcul de matrice ou de vecteur
  • G06F 7/544 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p.ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul
  • G06F 7/552 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p.ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul de puissances ou racines
  • G06F 7/499 - Maniement de valeur ou d'exception, p.ex. arrondi ou dépassement

26.

ADAPTIVE INTEGRATED PROGRAMMABLE DATA PROCESSING UNIT

      
Numéro d'application 17892949
Statut En instance
Date de dépôt 2022-08-22
Date de la première publication 2024-02-22
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Dastidar, Jaideep
  • Riddoch, David James
  • Pope, Steven Leslie

Abrégé

An integrated circuit device includes multiple heterogeneous functional circuit blocks and interface circuitry that permits the heterogeneous functional circuit blocks to exchange data with one another using communication protocols of the respective heterogeneous functional circuit blocks. The IC device includes fixed-function circuitry, user-configurable circuitry (e.g., programmable logic), and/or embedded processors/cores. A functional circuit block may be configured in fixed-function circuitry or in the user-configurable circuitry (i.e., as a plug-in). The interface circuitry includes a network-on-a-chip (NoC), an adaptor configured in the user-configurable circuitry, and/or memory. The memory may be accessible to the functional circuit blocks through an adaptor configured the user-configurable circuitry and/or through the NoC. The IC device may be configured as a SmartNIC, DPU, or other type of system-on-a-chip (SoC).

Classes IPC  ?

  • G06F 13/40 - Structure du bus
  • G06F 13/28 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus d'entrée/sortie utilisant le transfert par rafale, p.ex. acces direct à la mémoire, vol de cycle

27.

MULTI-TENANT AWARE DATA PROCESSING UNITS

      
Numéro d'application 17892989
Statut En instance
Date de dépôt 2022-08-22
Date de la première publication 2024-02-22
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Dastidar, Jaideep
  • Riddoch, David James

Abrégé

Embodiments herein describe creating tag bindings that can be used to assign tags to data corresponding to different tenants using a data processing unit (DPU) such as a SmartNIC, Artificial Intelligence Unit, Network Storage Unit, Database Acceleration Units, and the like. In one embodiment, the DPUs include tag gateways at the interface between a host and network element (e.g., a switch) that recognize and tag the data corresponding to the tenants. These tags are then recognized by data processing engines (DPEs) in the DPU such as AI engines, cryptographic engines, encryption engines, Direct Memory Access (DMA) engines, and the like. These DPEs can be configured to perform tag policies that provide security isolation and performance isolation between the tenants.

Classes IPC  ?

  • G06F 13/20 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus d'entrée/sortie

28.

BUFFER CIRCUITRY HAVING IMPROVED BANDWIDTH AND RETURN LOSS

      
Numéro d'application 17884342
Statut En instance
Date de dépôt 2022-08-09
Date de la première publication 2024-02-15
Propriétaire XILINX, INC. (USA)
Inventeur(s) Francis, Roswald

Abrégé

An electronic system includes a buffer and analog-to-digital circuitry. The buffer includes buffer circuitry that includes an input node that receives an input signal. The buffer circuitry further includes coil circuitry that is electrically connected to the input node and a first node. The coil circuitry includes a first inductor and a second inductor. Further, the buffer circuitry includes a resistor that is electrically connected to the first node and a second node. A capacitor of the buffer circuitry is electrically connected to the second node and a third node. The third node is disposed between the first inductor and the second inductor. The buffer circuitry is configured to output an output signal based on the input signal.

Classes IPC  ?

  • H03K 19/0944 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des dispositifs à semi-conducteurs utilisant des transistors à effet de champ utilisant des transistors MOSFET
  • H03K 19/0185 - Dispositions pour le couplage; Dispositions pour l'interface utilisant uniquement des transistors à effet de champ
  • H03M 1/12 - Convertisseurs analogiques/numériques
  • H03K 19/0175 - Dispositions pour le couplage; Dispositions pour l'interface

29.

CHIP PACKAGE WITH CORE EMBEDDED INTEGRATED DEVICES

      
Numéro d'application 17888293
Statut En instance
Date de dépôt 2022-08-15
Date de la première publication 2024-02-15
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Weng, Li-Sheng
  • Ramalingam, Suresh

Abrégé

A chip package and methods for fabricating the same are provided that include integrated devices embedded and coupled in series between a lower surface of a package substrate and an integrated circuit die of the chip package. In some examples, the integrated devices are disposed side by side embedded in a common package substrate. In other examples, one of the series coupled integrated devices is embedded in a first package substrate while another of the series coupled integrated devices is embedded in a second package substrate that is stacked directly in contact with the first package substrate. The integrated devices may be passive and/or active integrated devices.

Classes IPC  ?

  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 49/02 - Dispositifs à film mince ou à film épais
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 21/50 - Assemblage de dispositifs à semi-conducteurs en utilisant des procédés ou des appareils non couverts par l'un uniquement des groupes

30.

INSTRUCTION SET ARCHITECTURE FOR DATA PROCESSING ARRAY CONTROL

      
Numéro d'application 17818309
Statut En instance
Date de dépôt 2022-08-08
Date de la première publication 2024-02-08
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Teng, Xiao
  • Siddagangaiah, Tejus
  • Lozano, Bryan
  • Ghasemi, Ehsan
  • Patwari, Rajeev
  • Delaye, Elliott
  • Tuyls, Jorn
  • Ng, Aaron
  • Pandit, Sanket
  • Peethambaran, Pramod
  • Pareek, Satyaprakash

Abrégé

Controlling a data processing (DP) array includes creating a replica of a register address space of the DP array based on the design and the DP array. A sequence of instructions, including write instructions and read instructions, is received. The write instructions correspond to buffer descriptors specifying runtime data movements for a design for a DP array. The write instructions are converted into transaction instructions and the read instructions are converted into wait instructions based on the replica of the register address space. The transaction instructions and the wait instructions are included in an instruction buffer. The instruction buffer is provided to a microcontroller configured to execute the transaction instructions and the wait instructions to implement the runtime data movements for the design as implemented in the DP array. In another aspect, the instruction buffer is stored in a file for subsequent execution by the microcontroller.

Classes IPC  ?

  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/46 - Dispositions pour la multiprogrammation
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

31.

CONNECTIVITY LAYER IN 3D DEVICES

      
Numéro d'application 17879670
Statut En instance
Date de dépôt 2022-08-02
Date de la première publication 2024-02-08
Propriétaire XILINX, INC. (USA)
Inventeur(s) Blair, Zachary

Abrégé

Embodiments herein describe a 3D stack of dies (e.g., an active-on-active (AoA) stack) with a connectivity die that enables the decoupling of processing regions in coupled dies from each other and from the physical location of I/O blocks on an I/O die. For example, the first die may have a plurality of hardware processing blocks that are arranged in a regular manner (e.g., an array with rows and columns). The connectivity die can include interconnects that couple these hardware processing blocks to I/O blocks in a second die. These I/O blocks may be arranged in an irregular manner. The interconnects in the connectivity die can provide fair access so that processing blocks on a first side of the first die can access an I/O block on the opposite side of the second die without using resources for neighboring processing blocks.

Classes IPC  ?

  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • G06F 12/1027 - Traduction d'adresses utilisant des moyens de traduction d’adresse associatifs ou pseudo-associatifs, p.ex. un répertoire de pages actives [TLB]
  • G06F 15/78 - Architectures de calculateurs universels à programmes enregistrés comprenant une seule unité centrale

32.

Systems and Methods to Transport Memory Mapped Traffic amongst integrated circuit devices

      
Numéro d'application 17879675
Statut En instance
Date de dépôt 2022-08-02
Date de la première publication 2024-02-08
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Srinivasan, Krishnan
  • Arbel, Ygal
  • Ahmad, Sagheer
  • Morshed, Abbas

Abrégé

Embodiments herein describe a decentralized chip-to-chip (C2C) interface architecture to transport memory mapped traffic amongst heterogeneous IC devices in a packetized, scalable, and configurable manner. An IC chip may include functional circuitry that exchanges memory-mapped traffic with an off-chip device, a NoC that packetizes and de-packetizes memory-mapped traffic and routes the packetized memory-mapped traffic between the functional circuitry and the off-chip device, and NoC inter-chip bridge (NICB) circuitry that interfaces between the NoC and the off-chip device over C2C interconnections. The NICB circuitry may be configurable in a full mode to map packetized memory-mapped traffic to the C2C interconnections in a 1:1 fashion and in a compressed to map packetized memory-mapped traffic to the C2C interconnections in a less-than 1:1 fashion.

Classes IPC  ?

33.

REGISTER INTEGRITY CHECK IN CONFIGURABLE DEVICES

      
Numéro d'application 17883379
Statut En instance
Date de dépôt 2022-08-08
Date de la première publication 2024-02-08
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Ansari, Ahmad R.
  • Schultz, David P.
  • Burton, Felix
  • Cuppett, Jeffrey

Abrégé

Embodiments herein describe integrity check techniques that are efficient and flexible by using local registers in a segment to store check values which can be used to detect errors in the local configuration data in the same segment. In addition to containing local registers storing the check values, each segment can include a mask register indicated which of the configuration registers should be checked and which can be ignored. Further, the segments can include a next segment register indicating the next segment the check engine should evaluate for errors.

Classes IPC  ?

  • G06F 11/07 - Réaction à l'apparition d'un défaut, p.ex. tolérance de certains défauts
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

34.

LATENCY BALANCING OF PATHS IN MULTI-PROCESSOR COMPUTING ARCHITECTURE DESIGNS FOR DEADLOCK AVOIDANCE

      
Numéro d'application 17818341
Statut En instance
Date de dépôt 2022-08-08
Date de la première publication 2024-02-08
Propriétaire Xilinx, Inc. (USA)
Inventeur(s) Tibrewala, Krishnam

Abrégé

Providing first-in-first-out (FIFO) memory guidance for a multi-processor computing architecture includes compiling a design for a data processing array to generate a compiled design. The compiled design is mapped and routed to the data processing array. The compiled design is simulated using a modified device model of the data processing array. The modified device model uses infinite FIFO models. FIFO memory usage data is generated by tracking amounts of data stored in the infinite FIFO memory models during runtime of the simulation of the compiled design. FIFO memory requirements for one or more nets of the design are determined from the FIFO memory usage data and the compiled design.

Classes IPC  ?

  • G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation
  • G06F 30/3323 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle utilisant des méthodes formelles, p.ex. vérification de l’équivalence ou vérification des propriétés

35.

METHOD FOR MITIGATING WARPAGE ON STACKED WAFERS

      
Numéro d'application 17875226
Statut En instance
Date de dépôt 2022-07-27
Date de la première publication 2024-02-01
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Kim, Myongseob
  • Liu, Henley
  • Chang, Cheang-Whang

Abrégé

Methods for mitigating warpage on stacked wafers are provided herein. In one example, a method for mitigating warpage on stacked wafers includes depositing a first warpage compensating layer on a backside of a first wafer, stacking an active side of the first wafer on an active side of a second wafer to form a wafer stack having circuitry of the first wafer electrically connected to circuitry of the second wafer, and removing the first warpage compensating layer from the backside of the first wafer prior dicing the wafer stack.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

36.

METHOD FOR MITIGATING MEMORY ACCESS CONFLICTS IN A MULTI-CORE GRAPH COMPILER

      
Numéro d'application 17877395
Statut En instance
Date de dépôt 2022-07-29
Date de la première publication 2024-02-01
Propriétaire XILINX, INC. (USA)
Inventeur(s) Singh, Abnikant

Abrégé

A multi-core architecture in some examples may have hundreds of “cores”, each core comprising a digital signal processor (DSP) and various functional computing units. A method of implementing a multi-core graph compiler for a system-on-chip (SOC) having a data processing engine (DPE) array is disclosed herein. An Adaptive Intelligence Engine (AIE) compiler is one example of a multi-core graph compiler. An compiler is used to mitigate performance degradation due to memory stalls (collisions) when executing an AIE compiler-accelerated application on an AI Engine. The method disclosed here addresses phase order issues to mitigate the memory collisions.

Classes IPC  ?

37.

Block design containers for circuit design

      
Numéro d'application 17369192
Numéro de brevet 11886789
Statut Délivré - en vigueur
Date de dépôt 2021-07-07
Date de la première publication 2024-01-30
Date d'octroi 2024-01-30
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Khemka, Ayush
  • Beeravolu, Srinivas
  • Tummala, Kalyani
  • Nareddy, Jaipal Reddy
  • Boda, Adithya Balaji
  • Timmireddy, Suman Kumar

Abrégé

Circuit design development using block design containers can include opening, within a development environment generated by an Electronic Design Automation (EDA) system, a top-level block design specifying a circuit design and inserting, within the top-level block design using the EDA system, a block design container. The block design container specifies a source block design used as a sub-design within the top-level block design.

Classes IPC  ?

  • G06F 30/392 - Conception de plans ou d’agencements, p.ex. partitionnement ou positionnement
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 111/20 - CAO de configuration, p.ex. conception par assemblage ou positionnement de modules sélectionnés à partir de bibliothèques de modules préconçus

38.

Acceleration-ready program development and deployment for computer systems and hardware acceleration

      
Numéro d'application 17363920
Numéro de brevet 11886854
Statut Délivré - en vigueur
Date de dépôt 2021-06-30
Date de la première publication 2024-01-30
Date d'octroi 2024-01-30
Propriétaire Xilinx, Inc. (USA)
Inventeur(s) Maidee, Pongstorn

Abrégé

Acceleration-ready program development includes providing a software library having a plurality of functions having compute identifiers. The software library is associated with a hardware library including one or more hardware accelerated functions. The hardware accelerated functions are associated with the compute identifiers. Each hardware accelerated function is a functional equivalent of a function of the software library having the same compute identifier. A hybrid executor layer is provided that, when executed by a data processing system with an acceleration-ready computer program built using the software library, is configured to initiate execution of a selected function of the acceleration-ready computer program using a processor of the data processing system or invoke a hardware accelerated function having a compute identifier matching the compute identifier of the selected function based on comparing acceleration criteria with acceleration rules.

Classes IPC  ?

  • G06F 8/61 - Installation
  • G06F 9/448 - Paradigmes d’exécution, p.ex. implémentation de paradigmes de programmation
  • G06F 9/445 - Chargement ou démarrage de programme

39.

Method and apparatus for memory management in a video processing system

      
Numéro d'application 18144692
Numéro de brevet 11887558
Statut Délivré - en vigueur
Date de dépôt 2023-05-08
Date de la première publication 2024-01-30
Date d'octroi 2024-01-30
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Srinivasan, Krishnan
  • Sheng, Qingyi
  • Li, Kam-Wang

Abrégé

An integrated circuit (IC) includes a video buffer memory and display driver circuitry. The video buffer memory includes a buffer memory map. The video buffer memory stores one or more raster lines of video data organized as tiled lines. Each of the tiled lines including two quartiles. The display driver circuitry is coupled to the video buffer memory. The display driver circuitry writes data associated with a portion of a first data line to a first one of the two quartiles of a first one of the tiled lines, and updates the buffer memory map. Further, the display driver determines a full display line being present within the video buffer memory based on the buffer memory map. The display driver further outputs the full display line to a display device.

Classes IPC  ?

  • G09G 5/00 - Dispositions ou circuits de commande de l'affichage communs à l'affichage utilisant des tubes à rayons cathodiques et à l'affichage utilisant d'autres moyens de visualisation

40.

RECONFIGURABLE NEURAL ENGINE WITH EXTENSIBLE INSTRUCTION SET ARCHITECTURE

      
Numéro d'application 17814817
Statut En instance
Date de dépôt 2022-07-25
Date de la première publication 2024-01-25
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Pandit, Sanket
  • Tuyls, Jorn
  • Teng, Xiao
  • Patwari, Rajeev
  • Ghasemi, Ehsan
  • Delaye, Elliott
  • Ng, Aaron

Abrégé

An integrated circuit includes a plurality of kernels and a virtual machine coupled to the plurality of kernels. The virtual machine is configured to interpret instructions directed to different ones of the plurality of kernels. The virtual machine is configured to control operation of the different ones of the plurality of kernels responsive to the instructions.

Classes IPC  ?

  • G06F 15/80 - Architectures de calculateurs universels à programmes enregistrés comprenant un ensemble d'unités de traitement à commande commune, p.ex. plusieurs processeurs de données à instruction unique
  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation

41.

WIDEBAND DIGITAL STEP ATTENUATOR AND BUFFER CIRCUITRY FOR A RECEIVER SYSTEM

      
Numéro d'application 17871699
Statut En instance
Date de dépôt 2022-07-22
Date de la première publication 2024-01-25
Propriétaire XILINX, INC. (USA)
Inventeur(s) Francis, Roswald

Abrégé

Attenuation circuitry for a wireless receiver system receives and attenuates an input signal. The attenuation circuitry includes an input pin, coil circuitry, capacitor network circuitry, and inverter circuitry. The input pin receives the input signal. The coil circuitry is electrically connected to the input pin, receives the input signal from the input pin, and outputs an adjusted signal from the input signal. The capacitor network circuitry is electrically connected to the coil circuitry. The capacitor network circuitry receives the adjusted signal from the coil circuitry, and outputs an attenuated signal from the adjusted signal. The inverter circuitry is electrically connected to the capacitor network circuitry. The inverter circuitry receives the attenuated signal and generates an output signal from the attenuated signal. The output signal is output from the attenuation circuitry via an output inductor.

Classes IPC  ?

  • H03H 11/24 - Atténuateurs indépendants de la fréquence
  • H03K 19/0175 - Dispositions pour le couplage; Dispositions pour l'interface

42.

LOCK AND BUFFER SCHEDULING IN MULTI-CORE ARCHITECTURES

      
Numéro d'application 17871705
Statut En instance
Date de dépôt 2022-07-22
Date de la première publication 2024-01-25
Propriétaire XILINX, INC. (USA)
Inventeur(s) Agarwal, Ajit Kumar

Abrégé

Application code is compiled to generate code to be executed by the cores of a multi-core architecture. Generating the code includes mapping kernels of the application onto the DPEs, and generating main code for cores of the DPEs. The main code is generated by initializing locks for each kernel associated with the cores the DPEs. The locks are associated with input ports and output ports of the kernels. Further, buffers are initialized for the kernels. The buffers are associated with the locks and data streams. Subsequent to initializing the locks and initializing the buffers, the kernels are executed to generate data samples from the data streams. Subsequent to executing the kernels, the locks are released for subsequent calls of the kernels.

Classes IPC  ?

  • G06F 9/52 - Synchronisation de programmes; Exclusion mutuelle, p.ex. au moyen de sémaphores
  • G06F 9/54 - Communication interprogramme

43.

MEMORY CONTROLLER WITH A PREPROCESSOR

      
Numéro d'application 17865157
Statut En instance
Date de dépôt 2022-07-14
Date de la première publication 2024-01-18
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Arbel, Ygal
  • Newman, Martin
  • Chinnappan, Arul

Abrégé

A state-of-the-art memory controller and methods for using the same are disclosed. The memory controller is intended for use with dynamic random-access memory (DRAM) circuitry. In one example, a memory controller includes a reordering preprocessor circuitry coupled to a reordering scheduler circuitry. The reordering scheduler circuitry is configured to control a reordering scheduler queue, and is coupled to DRAM circuitry. The reordering preprocessor circuitry is configured to control a preprocessor queue and reorder transactions in the preprocessor queue so as to increase the DRAM circuitry performance.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

44.

DPLL timing normalization

      
Numéro d'application 18079649
Numéro de brevet 11876523
Statut Délivré - en vigueur
Date de dépôt 2022-12-12
Date de la première publication 2024-01-16
Date d'octroi 2024-01-16
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Zhang, Hongtao
  • Jain, Ankur
  • Im, Hsung Jai

Abrégé

Embodiments herein describe normalizing an output of a TDC in a DPLL to a resolution of the TDC. A DTC can delay a reference clock which is then input into the TDC. The TDC outputs a digital code indicating a time difference between the delayed reference clock output by the DTC and a clock generated by a DCO in the DPLL. This digital code is normalized to a resolution of the TDC and the result is filtered by a DLF.

Classes IPC  ?

  • H03L 7/093 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase concernant principalement l'agencement de détection de phase ou de fréquence y compris le filtrage ou l'amplification de son signal de sortie utilisant des caractéristiques de filtrage ou d'amplification particulières dans la boucle
  • H03L 7/081 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase avec un déphaseur commandé additionnel
  • G04F 10/00 - Appareils pour mesurer des intervalles de temps inconnus par des moyens électriques
  • H03M 1/08 - Compensation ou prévention continue de l'influence indésirable de paramètres physiques du bruit
  • H03L 7/099 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase concernant principalement l'oscillateur commandé de la boucle

45.

Flash memory emulation

      
Numéro d'application 16684477
Numéro de brevet 11874768
Statut Délivré - en vigueur
Date de dépôt 2019-11-14
Date de la première publication 2024-01-16
Date d'octroi 2024-01-16
Propriétaire XILINX, INC. (USA)
Inventeur(s) Steger, Daniel

Abrégé

Disclosed approaches for emulating flash memory include storage circuits having respective address decoders. An input-output circuit has pins compatible with a flash memory device and is configured to input flash commands and output response signals via pins. An emulator circuit is configured to translate each flash command into one or more storage-circuit commands compatible with one storage circuit of the storage circuits, and to generate response signals compatible with the flash memory device. A translator circuit is configured to map a flash memory address in each flash command to an address of the one storage circuit, and to transmit the one or more storage-circuit commands and address to the one storage circuit.

Classes IPC  ?

  • G06F 12/02 - Adressage ou affectation; Réadressage
  • G06F 12/10 - Traduction d'adresses
  • H03K 19/177 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle
  • H03K 19/1776 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels des ressources de configuration pour les mémoires
  • H03K 19/17736 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels des ressources de routage

46.

Distributed parallel processing routing

      
Numéro d'application 17339232
Numéro de brevet 11875100
Statut Délivré - en vigueur
Date de dépôt 2021-06-04
Date de la première publication 2024-01-16
Date d'octroi 2024-01-16
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Sivaswamy, Satish
  • Shakhkyan, Ashot
  • Deshmukh, Nitin
  • Mkrtchyan, Garik
  • Stenz, Guenter
  • Pinninti, Bhasker

Abrégé

Examples described herein provide a non-transitory computer-readable medium storing instructions, which when executed on one or more processors, cause the one or more processors to perform operations. The operations include generating a plurality of child processes according to a number of a plurality of partitions in an integrated circuit (IC) design for an IC die, each of the plurality of child processes corresponding to and assigned to a respective one of the plurality of partitions. The operations include transmitting each of the plurality of partitions to a respective one of the plurality of child processes for routing, each of the plurality of partitions comprising a placement of components for the IC design. The operations include receiving a plurality of routings from the plurality of child processes. The operations include merging the plurality of routings into a global routing for the IC design by assembling together to form a global routing.

Classes IPC  ?

  • G06F 30/3947 - Routage global
  • G06F 9/355 - Adressage indexé
  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 30/347 - Niveau physique , p.ex. positionnement ou routage

47.

COMPILER-BASED GENERATION OF TRANSACTION ACCURATE MODELS FROM HIGH-LEVEL LANGUAGES

      
Numéro d'application 17811660
Statut En instance
Date de dépôt 2022-07-11
Date de la première publication 2024-01-11
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Mishra, Shantanu
  • Kashyap, Hemant
  • Kyatham, Uday
  • Attarde, Mahesh
  • Kasat, Amit Kasat

Abrégé

Compiling a high-level synthesis circuit design for simulation includes analyzing, using computer hardware, a kernel specified in a high-level language to detect pointers therein. A determination is made as to which of the pointers are global address space pointers referencing a global address space. The kernel is instrumented by replacing accesses in the kernel to the global address space with calls to wrapper functions for performing the accesses. A simulation kernel is generated that specifies an assembly language version of the kernel as instrumented.

Classes IPC  ?

48.

DISTRIBUTED CONFIGURATION OF PROGRAMMABLE DEVICES

      
Numéro d'application 17862257
Statut En instance
Date de dépôt 2022-07-11
Date de la première publication 2024-01-11
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Ansari, Ahmad R.
  • Schultz, David P.

Abrégé

Embodiments herein describe a distributed configuration system for a configurable device. Instead of relying solely on a central configuration manager to distribute configuration information to various subsystems in the device, the embodiments herein include configuration interface managers (CIM) that are distributed in different regions of the device, whether those regions are in one integrated circuit or include multiple integrated circuits. The embodiments can still use a central configuration manager to distribute configuration information in a device image to the plurality of CIMs, which can then forward the configuration information to their assigned regions.

Classes IPC  ?

  • G06F 9/445 - Chargement ou démarrage de programme

49.

CHIP BUMP INTERFACE COMPATIBLE WITH DIFFERENT ORIENTATIONS AND TYPES OF DEVICES

      
Numéro d'application 18369115
Statut En instance
Date de dépôt 2023-09-15
Date de la première publication 2024-01-11
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Arbel, Ygal
  • Ma, Kenneth
  • Jayadev, Balakrishna
  • Ahmad, Sagheer

Abrégé

Embodiments herein describe a multiple die system that includes an interposer that connects a first die to a second die. Each die has a bump interface structure that is connected to the other structure using traces in the interposer. However, the bump interface structures may have different orientations relative to each other, or one of the interface structures defines fewer signals than the other. Directly connecting the corresponding signals defined by the structures to each other may be impossible to do in the interposer, or make the interposer too costly. Instead, the embodiments here simplify routing in the interposer by connecting the signals in the bump interface structures in a way that simplifies the routing but jumbles the signals. The jumbled signals can then be corrected using reordering circuitry in the dies (e.g., in the link layer and physical layer).

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

50.

WAVEFORM STIMULUS GENERATION

      
Numéro d'application 17862061
Statut En instance
Date de dépôt 2022-07-11
Date de la première publication 2024-01-11
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Deshpande, Sandeep S.
  • Bandyopadhyay, Saikat

Abrégé

Simulation of a waveform in a circuit simulation includes preparing, in response to a programming interface call by a testbench, a schedule of states of a signal at two or more intervals in the simulation by a simulator. The programming interface call specifies a sequence of the states and indicates durations of the states during the simulation. The signal is set to a first state of the sequence by the simulator during the simulation and then to a second state of the sequence according to the schedule.

Classes IPC  ?

  • G06F 30/367 - Vérification de la conception, p.ex. par simulation, programme de simulation avec emphase de circuit intégré [SPICE], méthodes directes ou de relaxation

51.

Cached system for managing state vectors

      
Numéro d'application 17809833
Numéro de brevet 11886344
Statut Délivré - en vigueur
Date de dépôt 2022-06-29
Date de la première publication 2024-01-04
Date d'octroi 2024-01-30
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Brady, Noel J.
  • Svensson, Lars-Olof B

Abrégé

A cache system includes a computational cache and a computational cache miss-handler. The computational cache is configured to cache state vectors and perform read-modify-write (RMW) operations on the cached state vectors responsive to received RMW commands. The computational cache miss-handler is configured to perform RMW operations on state vectors stored in a memory responsive to cache misses in the computational cache. The memory is external to the cache system.

Classes IPC  ?

  • G06F 12/0815 - Protocoles de cohérence de mémoire cache
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

52.

3D INTEGRATED CIRCUIT WITH ENHANCED DEBUGGING CAPABILITY

      
Numéro d'application 17810547
Statut En instance
Date de dépôt 2022-07-01
Date de la première publication 2024-01-04
Propriétaire Xilinx, Inc. (USA)
Inventeur(s) Maidee, Pongstorn

Abrégé

An integrated circuit includes a plurality of layers. A subset of the plurality of layers is reserved for implementing user circuitry. At least a portion of a selected layer of the plurality of layers is reserved for debugging.

Classes IPC  ?

  • G06F 30/333 - Conception en vue de la testabilité [DFT], p.ex. chaîne de balayage ou autotest intégré [BIST]
  • G06F 30/343 - Niveau logique
  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux

53.

POWER AND TEMPERATURE DRIVEN BANDWIDTH THROTTLING USING DELAY INSERTION

      
Numéro d'application 17855439
Statut En instance
Date de dépôt 2022-06-30
Date de la première publication 2024-01-04
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Turullols, Sebastian
  • Medeme, Naga Murali Narasimha Rao
  • Sharma, Ravinder
  • Pvss, Jayaram
  • Hemanth Kumar, Indlamuri
  • Manji, Kaustuvmani

Abrégé

Methods and systems to manage an environmental condition, such as power consumption and/or temperature, of an integrated circuit (IC) device by controlling a bandwidth of a packet-based communication interface of the IC device (e.g., a PCIe interface). Bandwidth may be controlled by controlling delay between packets or controlling delay of a handshake signal. Delay may be increased when the environmental condition reaches a first threshold. Delay may be reduced when the environmental condition falls to a second threshold. Bandwidth may be regulated with proportional-integral control provided by a firmware controller and/or hardware. Bandwidth may be separately controlled for upstream and downstream paths based on bandwidth utilization of the respective paths. Bandwidth control may utilize codes stored in selectable registers. The IC device may include a field programmable gate array (FPGA) and may be configured as an accelerator card.

Classes IPC  ?

  • H04W 52/02 - Dispositions d'économie de puissance
  • G06F 1/3212 - Surveillance du niveau de charge de la batterie, p.ex. un mode d’économie d’énergie étant activé lorsque la tension de la batterie descend sous un certain niveau
  • G06F 1/3287 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par la mise hors tension d’une unité fonctionnelle individuelle dans un ordinateur

54.

Flow control between non-volatile memory storage and remote hosts over a fabric

      
Numéro d'application 15092490
Numéro de brevet 11861326
Statut Délivré - en vigueur
Date de dépôt 2016-04-06
Date de la première publication 2024-01-02
Date d'octroi 2024-01-02
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Singh, Santosh
  • Sakalley, Deboleena M.
  • Subramanian, Ramesh R.
  • Kumbhare, Pankaj V.
  • Boddu, Ravi K.

Abrégé

An example method of flow control between remote hosts and a target system over a front-end fabric, the target system including a nonvolatile memory (NVM) subsystem coupled to a back end fabric having a different transport than the front-end fabric is described. The method includes receiving commands from the remote hosts at a controller in the target system for the NVM subsystem. The method further includes storing the commands in a first-in-first-out (FIFO) shared among the remote hosts and implemented in memory of the target system. The method further includes updating virtual submission queues for the remote hosts based on the commands stored in the FIFO. The method further includes providing the commands to the NVM subsystem from the FIFO.

Classes IPC  ?

  • G06F 15/16 - Associations de plusieurs calculateurs numériques comportant chacun au moins une unité arithmétique, une unité programme et un registre, p.ex. pour le traitement simultané de plusieurs programmes
  • G06F 5/06 - Procédés ou dispositions pour la conversion de données, sans modification de l'ordre ou du contenu des données maniées pour modifier la vitesse de débit des données, c. à d. régularisation de la vitesse
  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 15/173 - Communication entre processeurs utilisant un réseau d'interconnexion, p.ex. matriciel, de réarrangement, pyramidal, en étoile ou ramifié

55.

CHIP PACKAGE WITH PASS THROUGH HEAT SPREADER

      
Numéro d'application 17851937
Statut En instance
Date de dépôt 2022-06-28
Date de la première publication 2023-12-28
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Refai-Ahmed, Gamal
  • Ramalingam, Suresh

Abrégé

Chip packages, electronic devices and method for making the same are described herein. The chip packages and electronic devices have a heat spreader disposed over a plurality of integrated circuit (IC) devices. The heat spreader has an opening through which a protrusion from an overlaying cover extends into contact with one or more of the IC devices to provide a direct heat transfer path to the cover. Another one or more other IC devices have a heat transfer path to the cover through the heat spreader. The separate heat transfer paths allow more effective thermal management of the IC devices of the chip package.

Classes IPC  ?

  • H01L 23/40 - Supports ou moyens de fixation pour les dispositifs de refroidissement ou de chauffage amovibles
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/46 - Dispositions pour le refroidissement, le chauffage, la ventilation ou la compensation de la température impliquant le transfert de chaleur par des fluides en circulation

56.

RANDOM READS USING MULTI-PORT MEMORY AND ON-CHIP MEMORY BLOCKS

      
Numéro d'application 18230117
Statut En instance
Date de dépôt 2023-08-03
Date de la première publication 2023-12-21
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Jain, Abhishek Kumar
  • Fraisse, Henri
  • Gaitonde, Dinesh D.

Abrégé

A method includes receiving a value and an identifier from a first memory and hashing the identifier to produce a memory block identifier. The method also includes routing, based on the memory block identifier, a read request to a memory block of a plurality of memory blocks and updating the value received from the first memory based on a property received from the memory block in response to the read request. The memory further includes storing the updated value in the first memory.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

57.

CHIP PACKAGE INTEGRATION WITH HYBRID BONDING

      
Numéro d'application 17841454
Statut En instance
Date de dépôt 2022-06-15
Date de la première publication 2023-12-21
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Singh, Inderjit
  • Chen, Shih-Yen
  • Chen, Yi-Ting

Abrégé

A chip package and method for fabricating the same are provided that includes hybrid bonds between a substrate and integrated circuit devices. In one example, a chip package includes a plurality of integrated circuit (IC) devices mounted on a substrate. The substrate has a die side and a ball side. The die side of the substrate includes a plurality of exposed metal bond pads. Each IC device has a device body. Functional circuitry is formed in the device body, terminating at a plurality of exposed metal bond pads. The plurality of exposed metal bond pads are hybrid bonded to the plurality of exposed metal bond pads.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

58.

SWITCHED CAPACITOR CIRCUITRY FOR MITIGATING POLE-ZERO DOUBLET ERRORS IN AN ANALOG CIRCUIT

      
Numéro d'application 17829297
Statut En instance
Date de dépôt 2022-05-31
Date de la première publication 2023-12-14
Propriétaire XILINX, INC. (USA)
Inventeur(s) Francis, Roswald

Abrégé

Examples describe a switched capacitor (SC) circuitry calibrated to mitigate the pole-zero (PZ) doublet errors that occur in an analog circuitry. Due to PZ-doublet errors, the slow settling time response of an input step function to an analog circuitry make it impractical to use in applications such as a digital oscilloscope. Mitigating the PZ-doublet errors in the frequency domain is not practical due to the problem of the generation of low frequency sinusoidal tones. The solution disclosed in the present invention is to apply a step function and examine the output's slow settling error waveform. A signal is input to an analog to digital converter, and the output of the converter is processed by a computation that produces calibration codes. Calibration codes are coupled to a SC circuitry to mitigate the PZ-doublet errors. The error waveform is then minimized within a specified accuracy.

Classes IPC  ?

59.

DATAFLOW-BASED COMPUTER PROGRAM VISUALIZATION AND REFACTORING

      
Numéro d'application 17806225
Statut En instance
Date de dépôt 2022-06-09
Date de la première publication 2023-12-14
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • De Jong, Maurits Maarten
  • Fitzpatrick, Liam
  • Gehre, Matthias
  • Odendahl, Maximilian
  • Pradelle, Benoit
  • Schuermans, Stefan
  • Murillo Gómez, Luis Gabriel

Abrégé

A computer-based visualization and refactoring system is capable of analyzing a computer program to determine computation tasks of the computer program and channels linking the computation tasks. The system generates, in a memory of computer hardware, a dataflow graph having nodes representing the computation tasks and edges representing the channels. The edges connect the nodes. Source code representations of the computation tasks are determined. Execution metrics of the computer program are determined. The nodes of the dataflow graph are annotated with the source code representations and the nodes and/or the edges are annotated with the execution metrics. The dataflow graph is displayed on a display device as annotated.

Classes IPC  ?

  • G06F 8/41 - Compilation
  • G06F 16/901 - Indexation; Structures de données à cet effet; Structures de stockage
  • G06F 11/34 - Enregistrement ou évaluation statistique de l'activité du calculateur, p.ex. des interruptions ou des opérations d'entrée–sortie
  • G06F 8/77 - Métriques logicielles

60.

HARDWARE ACCELERATION OF MACHINE LEARNING DESIGNS

      
Numéro d'application 17806906
Statut En instance
Date de dépôt 2022-06-14
Date de la première publication 2023-12-14
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Ghasemi, Ehsan
  • Patwari, Rajeev
  • Delaye, Elliott
  • Tuyls, Jorn
  • Wu, Ephrem C.
  • Teng, Xiao
  • Pandit, Sanket

Abrégé

Hardware acceleration of machine learning (ML) designs includes translating an ML primitive into an intermediate representation. The intermediate representation is subdivided to specify a functional compute block. The functional compute block is sized according to a compute node primitive adapted for implementing the ML primitive on target hardware. An overlay is generated for the ML primitive, at least in part, by mapping the functional compute block to the compute node primitive. The overlay is synthesizable to implement the ML primitive on the target hardware. The overlay can be scheduled for operation within the target hardware as part of an ML design including the ML primitive.

Classes IPC  ?

61.

IMPACTLESS FIRMWARE UPDATE

      
Numéro d'application 17839265
Statut En instance
Date de dépôt 2022-06-13
Date de la première publication 2023-12-14
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Ansari, Ahmad R.
  • Burton, Felix

Abrégé

Techniques to update firmware without a system reset include preserving state information associated with one or more firmware services, suspending processing of firmware service requests, loading an updated firmware image, and resuming processing of firmware service requests based on the preserved state information and the updated firmware image. Unpreserved states of one or more other firmware services may be recreated upon resumption of processing of the firmware service requests.

Classes IPC  ?

  • G06F 8/656 - Mises à jour pendant le fonctionnement
  • G06F 9/445 - Chargement ou démarrage de programme
  • G06F 21/57 - Certification ou préservation de plates-formes informatiques fiables, p.ex. démarrages ou arrêts sécurisés, suivis de version, contrôles de logiciel système, mises à jour sécurisées ou évaluation de vulnérabilité

62.

Early detection of sequential access violations for high level synthesis

      
Numéro d'application 17819884
Numéro de brevet 11836426
Statut Délivré - en vigueur
Date de dépôt 2022-08-15
Date de la première publication 2023-12-05
Date d'octroi 2023-12-05
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Du, Fangqing
  • Isoard, Alexandre
  • Yu, Lin-Ya
  • Neema, Hem C.

Abrégé

Detecting sequential access violations for high-level synthesis (HLS) includes performing a simulation, using computer hardware, of an application for HLS. During the simulation, accesses of the application to elements of an array of the application are detected. During the simulation, determinations of whether the accesses occur in a sequential order are made. An indication of whether the access occur in sequential order is generated.

Classes IPC  ?

  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]
  • G06F 15/80 - Architectures de calculateurs universels à programmes enregistrés comprenant un ensemble d'unités de traitement à commande commune, p.ex. plusieurs processeurs de données à instruction unique

63.

SPLITTING VECTOR PROCESSING LOOPS WITH AN UNKNOWN TRIP COUNT

      
Numéro d'application 17664858
Statut En instance
Date de dépôt 2022-05-24
Date de la première publication 2023-11-30
Propriétaire Xilinx, Inc. (USA)
Inventeur(s) Agarwal, Ajit K.

Abrégé

A computer-based technique for processing an application includes determining that a loop of the application includes a reference to a data item of a vector data type. A trip count of the loop is determined to have an unknown trip count. The loop is split into a first loop and a second loop based on a splitting factor. The second loop is unrolled.

Classes IPC  ?

64.

Streaming architecture for packet parsing

      
Numéro d'application 16242860
Numéro de brevet 11831743
Statut Délivré - en vigueur
Date de dépôt 2019-01-08
Date de la première publication 2023-11-28
Date d'octroi 2023-11-28
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Herrera, Jaime
  • Brebner, Gordon J.
  • Mcbryan, Ian
  • Lyons, Rowan

Abrégé

Apparatus and associated methods relate to packet header field extraction as defined by a high level language and implemented in a minimum number of hardware streaming parsing stages to speculatively extract header fields from among multiple possible header sequences. In an illustrative example, the number of stages may be determined from the longest possible header sequence in any received packet. For each possible header sequence, one or more headers may be assigned to each stage, for example, based on a parse graph. Each pipelined stage may resolve a correct header sequence, for example, by sequentially extracting length and transition information from an adjacent prior stage to determine offset of the next header. By speculatively extracting selected fields from every possible position in each pipeline stage, a correct value may be selected using sequential hardware streaming pipelines to substantially reduce parsing latency.

Classes IPC  ?

  • H04L 69/22 - Analyse syntaxique ou évaluation d’en-têtes
  • H04L 69/324 - Protocoles de communication intra-couche entre entités paires ou définitions d'unité de données de protocole [PDU] dans la couche liaison de données [couche OSI 2], p.ex. HDLC

65.

CIRCUIT SIMULATION BASED ON AN RTL COMPONENT IN COMBINATION WITH BEHAVIORAL COMPONENTS

      
Numéro d'application 17746512
Statut En instance
Date de dépôt 2022-05-17
Date de la première publication 2023-11-23
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Peddamgari, Nageshwar Reddy
  • Anand, Sourabh
  • Annam, Vasudha
  • Mulpuri, Chandra Sekhar

Abrégé

Methods and systems for simulating RTL models in combination with behavioral models involve generating an overall simulation model from a circuit design by a simulation tool of an EDA system. The overall simulation model includes respective behavioral simulation models of components of the circuit design. A register transfer level (RTL) simulation model of a particular component of the components of the circuit design is generated by an extractor tool of the EDA system. The respective behavioral simulation model of the particular component in the overall simulation model is replaced with the RTL simulation model, and a simulation that executes the overall simulation model and the RTL simulation model in place of the behavioral simulation model of the particular component is performed.

Classes IPC  ?

  • G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation

66.

SYSTEM-ON-CHIP HAVING MULTIPLE CIRCUITS AND MEMORY CONTROLLER IN SEPARATE AND INDEPENDENT POWER DOMAINS

      
Numéro d'application 18226193
Statut En instance
Date de dépôt 2023-07-25
Date de la première publication 2023-11-23
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Arbel, Ygal
  • Swarbrick, Ian A.
  • Ahmad, Sagheer

Abrégé

Examples of the present disclosure generally relate to integrated circuits, such as a system-on-chip (SoC), that include a memory subsystem. In some examples, an integrated circuit includes a first master circuit in a first power domain on a chip; a second master circuit in a second power domain on the chip; and a first memory controller in a third power domain on the chip. The first master circuit and the second master circuit each are configured to access memory via the first memory controller. The first power domain and the second power domain each are separate and independent from the third power domain.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06F 13/40 - Structure du bus
  • G06F 1/28 - Surveillance, p.ex. détection des pannes d'alimentation par franchissement de seuils
  • G06F 1/3287 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par la mise hors tension d’une unité fonctionnelle individuelle dans un ordinateur

67.

DATA PROCESSING ARRAY INTERFACE HAVING INTERFACE TILES WITH MULTIPLE DIRECT MEMORY ACCESS CIRCUITS

      
Numéro d'application 17663824
Statut En instance
Date de dépôt 2022-05-17
Date de la première publication 2023-11-23
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Clarke, David Patrick
  • Mccolgan, Peter
  • Noguera Serra, Juan J.
  • Tuan, Tim
  • Mathur, Saurabh
  • Kasibhatla, Amarnath
  • Rodriguez, Javier Cabezas
  • Parola Duarte, Pedro Miguel
  • Dickman, Zachary Blaise

Abrégé

An integrated circuit (IC) can include a data processing array including a plurality of compute tiles arranged in a grid. The IC can include an array interface coupled to the data processing array. The array interface includes a plurality of interface tiles. Each interface tile includes a plurality of direct memory access circuits. The IC can include a network-on-chip (NoC) coupled to the array interface. Each direct memory access circuit is communicatively linked to the NoC via an independent communication channel.

Classes IPC  ?

  • G06F 13/28 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus d'entrée/sortie utilisant le transfert par rafale, p.ex. acces direct à la mémoire, vol de cycle

68.

LOCK-STEPPING ASYNCHONOUS LOGIC

      
Numéro d'application 17746843
Statut En instance
Date de dépôt 2022-05-17
Date de la première publication 2023-11-23
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Tran, David
  • Ganesan, Aditi R.
  • Goyal, Anurag

Abrégé

Methods and systems to detect a metastable condition and suppress/mask a signal during the metastable condition. The metastable condition may arise from asynchronous sampling. Techniques disclosed herein may be configured to enable asynchronous lock-stepping, where outputs of redundant circuit blocks of a first clock domain are received at input nodes of a second clock domain. In the second clock domain, logic states at the input nodes are compared to detect errors, and results of the comparison are masked during transitions at the input nodes. Masking may be constrained to situations where logic states at the input nodes differ.

Classes IPC  ?

  • G06F 11/16 - Détection ou correction d'erreur dans une donnée par redondance dans le matériel
  • H03L 7/081 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase avec un déphaseur commandé additionnel

69.

Lossless compression using subnormal floating point values

      
Numéro d'application 17171608
Numéro de brevet 11824564
Statut Délivré - en vigueur
Date de dépôt 2021-02-09
Date de la première publication 2023-11-21
Date d'octroi 2023-11-21
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • James-Roxby, Philip B.
  • Dellinger, Eric F.

Abrégé

A disclosed compression method includes inputting a data set of floating point values from an input circuit to a compression circuit and detecting non-zero values and sequences of zero values in the data set. The compression circuit outputs, in response to detection of a non-zero value in the data set, the non-zero value to an output circuit. The compression circuit generates, in response to detection of a sequence of zero values in the data set, a subnormal floating point value having significand bits that indicate counted zero values in the sequence, and outputs the subnormal floating point value to the output circuit.

Classes IPC  ?

  • H03M 7/24 - Conversion en, ou à partir de codes à virgule flottante
  • G06F 7/483 - Calculs avec des nombres représentés par une combinaison non linéaire de nombres codés, p.ex. nombres rationnels, système de numération logarithmique ou nombres à virgule flottante

70.

Identifying alignment markers using partial correlators

      
Numéro d'application 16199744
Numéro de brevet 11824761
Statut Délivré - en vigueur
Date de dépôt 2018-11-26
Date de la première publication 2023-11-21
Date d'octroi 2023-11-21
Propriétaire Xilinx, Inc. (USA)
Inventeur(s) Jones, Ben J.

Abrégé

Methods and apparatus for detecting alignment markers in received data streams received via a plurality of data lanes are disclosed. Corresponding data streams may be received via respective data lanes in the plurality of data lanes, where each data stream includes alignment markers delineating data frames, and each alignment marker has a predefined bit pattern. For each respective data lane, a determination is made whether a specified portion of the received data stream has at least a threshold degree of similarity with a portion of the predefined bit pattern. In response to determining, for one of the plurality of data lanes, that the specified portion has at least the threshold degree of similarity, a frame boundary may be determined based on the specified portion, and a verification may be performed, that the specified portion of the received data stream corresponds to an alignment marker.

Classes IPC  ?

  • H04L 45/24 - Routes multiples
  • H04L 25/14 - Dispositifs diviseurs de canaux
  • H04L 1/00 - Dispositions pour détecter ou empêcher les erreurs dans l'information reçue

71.

Integrated circuit chip testing interface with reduced signal wires

      
Numéro d'application 17742363
Numéro de brevet 11860228
Statut Délivré - en vigueur
Date de dépôt 2022-05-11
Date de la première publication 2023-11-16
Date d'octroi 2024-01-02
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Lin, Albert Shih-Huai
  • Patel, Niravkumar
  • Majumdar, Amitava
  • Sowards, Jane Wang

Abrégé

An integrated circuit (IC) chip device includes testing interface circuity and testing circuitry to test the operation of the IC chips of the IC chip device. The IC chip device includes a first IC chip that comprises first testing circuitry. The first testing circuitry receives a mode select signal, a clock signal, and encoded signals, and comprises finite state machine (FSM) circuitry, decoder circuitry, and control circuitry. The FSM circuitry determines an instruction based on the mode select signal and the clock signal. The decoder circuitry decodes the encoded signals to generate a decoded signal. The control circuitry generates a control signal from the instruction and the decoded signal. The control signal indicates a test to be performed by the first testing circuitry.

Classes IPC  ?

  • G01R 31/3185 - Reconfiguration pour les essais, p.ex. LSSD, découpage
  • G01R 31/317 - Tests de circuits numériques

72.

BLOCKCHAIN MACHINE BROADCAST PROTOCOL WITH LOSS RECOVERY

      
Numéro d'application 17743421
Statut En instance
Date de dépôt 2022-05-12
Date de la première publication 2023-11-16
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Yang, Ji
  • Javaid, Haris
  • Mohan, Sundararajarao
  • Brebner, Gordon John

Abrégé

The embodiments herein describe a communication protocol (which can be implemented in hardware or software) that provides efficient recover packet loss and can transit large messages in a complex network environment. In one embodiment, each data packet contains an encoded universal sequence which is unique across the sends, which enables cross-sender loss recovery. A receiver can include a transmission control module that controls the receiving buffer and maintains the buffer status and the sender's status. The transmission control module stores incoming packets to the correct position in the receiving buffer and generates acknowledgement notifications. The transmission control module also handles packet loss and out-of-order receiving of the packets containing the transactions.

Classes IPC  ?

  • H04L 67/1074 - Réseaux de pairs [P2P] pour la prise en charge des mécanismes de transmission de blocs de données
  • G06F 16/23 - Mise à jour

73.

FRAMEWORK FOR SYSTEM SIMULATION USING MULTIPLE SIMULATORS

      
Numéro d'application 17662818
Statut En instance
Date de dépôt 2022-05-10
Date de la première publication 2023-11-16
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Yang, Ji
  • Javaid, Haris
  • Mohan, Sundararajarao

Abrégé

A simulation framework is capable modeling a hardware implementation of a reference software system using models specified in different computer-readable languages. The models correspond to different ones of a plurality of subsystems of the hardware implementation. Input data is provided to a first simulator configured to simulate a first model of a first subsystem of the modeled hardware implementation. The input data is captured from execution of the reference software system. The first simulator executing the first model generates a first data file specifying output of the first subsystem. The first data file specifies intermediate data of the modeled hardware implementation. The first data file is provided to a second simulator configured to simulate a second model of a second subsystem of the modeled hardware implementation. The second simulator executing the second model generates a second data file specifying output of the second subsystem.

Classes IPC  ?

  • G06F 30/20 - Optimisation, vérification ou simulation de l’objet conçu
  • H04L 9/00 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité

74.

NETWORK-ON-CHIP ARCHITECTURE FOR HANDLING DIFFERENT DATA SIZES

      
Numéro d'application 17663376
Statut En instance
Date de dépôt 2022-05-13
Date de la première publication 2023-11-16
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Srinivasan, Krishnan
  • Ahmad, Sagheer
  • Arbel, Ygal
  • Gupta, Aman

Abrégé

An integrated circuit (IC) includes a Network-on-Chip (NoC). The NoC includes a plurality of NoC master circuits, a plurality of NoC slave circuits, and a plurality of switches. The plurality of switches are interconnected and communicatively link the plurality of NoC master circuits with the plurality of NoC slave circuits. The plurality of switches are configured to receive data of different widths during operation and implement different operating modes for forwarding the data based on the different widths.

Classes IPC  ?

  • H04L 49/109 - TRANSMISSION D'INFORMATION NUMÉRIQUE, p.ex. COMMUNICATION TÉLÉGRAPHIQUE Éléments de commutation de paquets caractérisés par la construction de la matrice de commutation intégrés sur micropuce, p.ex. interrupteurs sur puce

75.

SPATIAL DISTRIBUTION IN A 3D DATA PROCESSING UNIT

      
Numéro d'application 18224859
Statut En instance
Date de dépôt 2023-07-21
Date de la première publication 2023-11-09
Propriétaire XILINX, INC. (USA)
Inventeur(s) Dastidar, Jaideep

Abrégé

The embodiments herein describe a 3D SmartNIC that spatially distributes compute, storage, or network functions in three dimensions using a plurality of layers. That is, unlike current SmartNIC that can perform acceleration functions in a 2D, a 3D Smart can distribute these functions across multiple stacked layers, where each layer can communicate directly or indirectly with the other layers.

Classes IPC  ?

  • G06F 13/42 - Protocole de transfert pour bus, p.ex. liaison; Synchronisation
  • G06F 21/60 - Protection de données

76.

DEADLOCK DETECTION AND PREVENTION FOR ROUTING PACKET-SWITCHED NETS IN ELECTRONIC SYSTEMS

      
Numéro d'application 17662037
Statut En instance
Date de dépôt 2022-05-04
Date de la première publication 2023-11-09
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Venkatakrishnan, Sreesan
  • Deshmukh, Nitin
  • Sivaswamy, Satish B.

Abrégé

Routing a circuit design includes generating a graph of the circuit design where each connected component is represented as a vertex, generating a routing solution for the circuit design by routing packet-switched nets so that the packet-switched nets of a same connected component do not overlap, and, for each routing resource that is shared by packet-switched nets of different connected components, indicating the shared routing resource on the graph by adding an edge. Cycle detection may be performed on the graph. For each cycle detected on the graph, the cycle may be broken by deleting the edge from the graph and ripping-up a portion of the routing solution corresponding to the deleted edge. The circuit design, or portion thereof, for which the routing solution was ripped up may be re-routed using an increased cost for a shared routing resource freed from the ripping-up.

Classes IPC  ?

  • G06F 30/394 - Routage
  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]

77.

Wafer-scale large programmable device

      
Numéro d'application 17209006
Numéro de brevet 11803681
Statut Délivré - en vigueur
Date de dépôt 2021-03-22
Date de la première publication 2023-10-31
Date d'octroi 2023-10-31
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Blair, Zachary
  • Kaviani, Alireza

Abrégé

The embodiments herein rely on cross reticle wires (also referred to as cross die wires) to provide communication channels between programmable dies already formed on a wafer. Using cross reticle wires to facilitate x-die communication can be three to four orders of magnitude faster than using general purpose I/O. With a wafer containing cross reticle wires, various device geometries can be generated at dicing time by cutting across different reticle boundaries. This allows up to full wafer-size devices, or several smaller sub-wafer devices to be derived from one wafer. Although the programmable dies can contain defects, these defects can be identified and avoided when generating a bitstream for configuring programmable features in the programmable dies.

Classes IPC  ?

  • G06F 30/323 - Traduction ou migration, p.ex. logique à logique, traduction de langage descriptif de matériel ou traduction de liste d’interconnections [Netlist]
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • G06F 30/3947 - Routage global

78.

BLOCKCHAIN MACHINE COMPUTE ACCELERATION ENGINE WITH OUT-OF-ORDER SUPPORT

      
Numéro d'application 17729955
Statut En instance
Date de dépôt 2022-04-26
Date de la première publication 2023-10-26
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Javaid, Haris
  • Yang, Ji
  • Mohan, Sundararajarao

Abrégé

Embodiments herein describe a hardware accelerator for a blockchain node. The hardware accelerator is used to perform a validation operation to validate one or more transactions before those transactions are committed to a ledger of a blockchain. The embodiments herein describe an out-of-order validation scheme where a collector is used to collect validated transactions out of order. Thus, if a validation pipeline has finished validating a later transaction before another validation pipeline has finished validating an earlier transaction, the pipeline can nonetheless send its results to the collector and retrieve another transaction from a scheduler. In this manner, the downtime for the validation pipelines is reduced or eliminated.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions
  • H04L 9/00 - Dispositions pour les communications secrètes ou protégées; Protocoles réseaux de sécurité
  • H04L 9/08 - Répartition de clés
  • G06F 15/78 - Architectures de calculateurs universels à programmes enregistrés comprenant une seule unité centrale

79.

HIGH-THROUGHPUT REGULAR EXPRESSION PROCESSING USING AN INTEGRATED CIRCUIT

      
Numéro d'application 17660801
Statut En instance
Date de dépôt 2022-04-26
Date de la première publication 2023-10-26
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Kumawat, Sachin
  • Liddell, David K.
  • Wang, Jiayou

Abrégé

A system includes a multi-port random-access memory (RAM) configured to store an instruction table. The instruction table specifies a regular expression for application to a data stream. The system includes a regular expression engine configured to process the data stream based on the instruction table. The regular expression engine includes a decoder circuit configured to determine validity of active states output from the RAM, a plurality of active states memories operating concurrently, wherein each active states memory is configured to initiate a read from a different port of the RAM using an address formed of an active state output from the active states memory and a portion of the data stream, and switching circuitry configured to route the active states to the plurality of active states memories according, at least in part, to a load balancing technique and validity of the active states.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

80.

High-throughput regular expression processing with capture using an integrated circuit

      
Numéro d'application 17660808
Numéro de brevet 11861171
Statut Délivré - en vigueur
Date de dépôt 2022-04-26
Date de la première publication 2023-10-26
Date d'octroi 2024-01-02
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Kumawat, Sachin
  • Liddell, David K.
  • Schumacher, Paul R.

Abrégé

A system includes a first multi-port RAM storing an instruction table. The instruction table specifies a regular expression for application to a data stream and a second multi-port RAM configured to store a capture table having capture entries decodable for tracking position information for a sequence of characters matching a capture sub-expression of the regular expression. The system includes a regular expression engine processing the data stream to determine match states by tracking active states for the regular expression and priorities for the active states by storing the active states of the regular expression in a plurality of priority FIFO memories in decreasing priority order. The system includes a capture engine operating in coordination with the regular expression engine to determine character(s) of the data stream that match the capture sub-expression based on the active state being tracked and decoding the capture entries of the capture table.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

81.

HIGH-THROUGHPUT REGULAR EXPRESSION PROCESSING WITH PATH PRIORITIES USING AN INTEGRATED CIRCUIT

      
Numéro d'application 17660799
Statut En instance
Date de dépôt 2022-04-26
Date de la première publication 2023-10-26
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Liddell, David K.
  • Kumawat, Sachin

Abrégé

A system includes a multi-port RAM configured to store an instruction table. The instruction table specifies a regular expression for application to a data stream. The system includes a regular expression engine (engine) that processes the data stream using the instruction table. The engine includes a decoder circuit that determines validity of active states output from the multi-port RAM and a plurality of priority FIFO memories (PFIFOs) operating concurrently. Each PFIFO can initiate a read from a different port of the multi-port RAM. Each PFIFO can track a plurality of active paths for the regular expression and a priority of each active path by, at least in part, storing entries corresponding to active states in each respective PFIFO in decreasing priority order. The engine includes switching circuitry that selectively routes the active states from the decoder circuit to the plurality of PFIFOs according to the priority order.

Classes IPC  ?

  • G06F 12/126 - Commande de remplacement utilisant des algorithmes de remplacement avec maniement spécial des données, p.ex. priorité des données ou des instructions, erreurs de maniement ou repérage
  • G06F 12/02 - Adressage ou affectation; Réadressage
  • G06F 12/0853 - Mémoire cache avec matrices multiples d’étiquettes ou de données

82.

ADAPTIVE BLOCK PROCESSOR FOR BLOCKCHAIN MACHINE COMPUTE ACCELERATION ENGINE

      
Numéro d'application 17729949
Statut En instance
Date de dépôt 2022-04-26
Date de la première publication 2023-10-26
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Javaid, Haris
  • Yang, Ji
  • Mohan, Sundararajarao

Abrégé

Embodiments herein describe a hardware accelerator for a blockchain node. The hardware accelerator is used to perform a validation operation to validate one or more transactions before those transactions are committed to a ledger of a blockchain. The embodiments herein describe a scheduler for assigning validation engines to the transactions in response to the number of endorsements in the transactions.

Classes IPC  ?

  • G06Q 20/40 - Autorisation, p.ex. identification du payeur ou du bénéficiaire, vérification des références du client ou du magasin; Examen et approbation des payeurs, p.ex. contrôle des lignes de crédit ou des listes négatives

83.

Multiple partitions in a data processing array

      
Numéro d'application 17659423
Numéro de brevet 11848670
Statut Délivré - en vigueur
Date de dépôt 2022-04-15
Date de la première publication 2023-10-19
Date d'octroi 2023-12-19
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Serra, Juan J. Noguera
  • Tuan, Tim
  • Rodriguez, Javier Cabezas
  • Clarke, David
  • Mccolgan, Peter
  • Dickman, Zachary Blaise
  • Mathur, Saurabh
  • Kasibhatla, Amarnath
  • Quesada, Francisco Barat

Abrégé

An apparatus includes a data processing array having a plurality of array tiles. Each array tile can include a random-access memory (RAM) having a local memory interface accessible by circuitry within the array tile and an adjacent memory interface accessible by circuitry disposed within an adjacent array tile. Each adjacent memory interface of each array tile can include isolation logic that is programmable to allow the circuitry disposed within the adjacent array tile to access the RAM or prevent the circuitry disposed within the adjacent array tile from accessing the RAM. The data processing array can be subdivided into a plurality of partitions wherein the isolation logic of the adjacent memory interfaces is programmed to prevent array tiles from accessing RAMs across a boundary between the plurality of partitions.

Classes IPC  ?

  • H03K 19/1776 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels des ressources de configuration pour les mémoires
  • G11C 5/02 - Disposition d'éléments d'emmagasinage, p.ex. sous la forme d'une matrice
  • H03K 19/17764 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels des ressources de configuration pour la fiabilité
  • H03K 19/17784 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des circuits logiques élémentaires comme composants disposés sous forme matricielle - Détails structurels pour l'adaptation des paramètres physiques pour la tension d'alimentation

84.

COUPLED LOOP AND VOID STRUCTURE INTEGRATED IN A REDISTRIBUTION LAYER OF A CHIP PACKAGE

      
Numéro d'application 17724063
Statut En instance
Date de dépôt 2022-04-19
Date de la première publication 2023-10-19
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Chiu, Po-Wei
  • Chen, Tzu-No
  • Shi, Hong
  • Weng, Li-Sheng
  • Lee, Young Soo

Abrégé

Disclosed herein is a chip package and method for fabricating the same are provided that includes a redistribution layer (RDL) with a plurality of loop and void structures. The chip package includes an integrated circuit (IC) die, and a package substrate. The RDL is disposed between the IC die and the package substrate. The RDL has RDL circuitry that connects the IC die to the package substrate. The RDL circuitry includes a first coil formed in a first metal layer and a second coil formed in a second metal layer. A first end of the second coil is coupled to a second end of the first coil by a first via. A second end of the second coil is the IC die.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/64 - Dispositions relatives à l'impédance
  • H01L 23/498 - Connexions électriques sur des substrats isolants

85.

Predicting a performance metric based on features of a circuit design and explaining marginal contributions of the features to the prediction

      
Numéro d'application 17722651
Numéro de brevet 11790139
Statut Délivré - en vigueur
Date de dépôt 2022-04-18
Date de la première publication 2023-10-17
Date d'octroi 2023-10-17
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Sivaswamy, Satish
  • Mkrtchyan, Garik

Abrégé

A design tool determines features of a circuit design and applies a first model to the features. The first model indicates a predicted value of a metric based on the plurality of features. The design tool applies an explanation model to the features, and the explanation model indicates levels of contributions by the features to the predicted value of the metric, respectively. The design tool selects a feature of the plurality of features based on the respective levels of contributions and looks up a recipe associated with the feature in a database having possible features associated with recipes. The design tool processes the circuit design according to the recipe into implementation data that is suitable for making an integrated circuit (IC).

Classes IPC  ?

  • G06F 30/31 - Saisie informatique, p.ex. éditeurs spécifiquement adaptés à la conception de circuits
  • G06F 30/343 - Niveau logique

86.

ROUTING NETWORK USING GLOBAL ADDRESS MAP WITH ADAPTIVE MAIN MEMORY EXPANSION FOR A PLURALITY OF HOME AGENTS

      
Numéro d'application 18206045
Statut En instance
Date de dépôt 2023-06-05
Date de la première publication 2023-10-12
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Dastidar, Jaideep
  • Mittal, Millind

Abrégé

An integrated circuit (IC) for adaptive memory expansion scheme is proposed, which comprises: a home agent comprising a first memory expansion pool and a second memory expansion pool; a first port connecting the home agent to a first memory expansion device, where the first memory expansion device comprises a first memory pool; a second port connecting the home agent to a second memory expansion device, where the second memory expansion device comprises a second memory pool; a first address table mapping the first memory expansion pool to the first memory pool based on a size of the first memory expansion pool or a size of the first memory pool; and a second address table mapping the second memory expansion pool to the second memory pool based on a size of the second memory expansion pool or a size of the second memory pool.

Classes IPC  ?

  • G06F 13/40 - Structure du bus
  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire

87.

SECURE SHELL AND ROLE ISOLATION FOR MULTI-TENANT COMPUTE

      
Numéro d'application 17716881
Statut En instance
Date de dépôt 2022-04-08
Date de la première publication 2023-10-12
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Dastidar, Jaideep
  • Moore, Jason
  • Martin, Brian S.

Abrégé

Embodiments herein describe a SoC with one or more untrusted islands that can host one or more roles or tenants in a data center environment (e.g., a cloud computing environment). In one embodiment, a secure shell encapsulates the untrusted islands with a secure application programming interface (API) to access other hardware resources in the SoC. Hardware resources in the SoC (e.g., HardIP, SoftIP, or both), can either be secure/trusted, or rely on the secure shell to ensure confidentiality.

Classes IPC  ?

  • H04L 9/40 - Protocoles réseaux de sécurité
  • H04L 45/02 - Mise à jour ou découverte de topologie

88.

CHIP PACKAGE WITH INTEGRATED CURRENT CONTROL

      
Numéro d'application 17718220
Statut En instance
Date de dépôt 2022-04-11
Date de la première publication 2023-10-12
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Weng, Li-Sheng
  • Cheng, Chun-Yuan
  • Lee, Chao-Chin

Abrégé

A chip package and method for fabricating the same are provided that includes a power delivery network (PDN) with non-uniform electrical conductance. The electrical conductance through each current path of the PDN may be selected to balance the distribution of current flow across the current paths through the chip package, thus compensating for areas of high and low current draw found in conventional designs.

Classes IPC  ?

  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

89.

Chip bump interface compatible with different orientations and types of devices

      
Numéro d'application 17235843
Numéro de brevet 11784149
Statut Délivré - en vigueur
Date de dépôt 2021-04-20
Date de la première publication 2023-10-10
Date d'octroi 2023-10-10
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Arbel, Ygal
  • Ma, Kenneth
  • Jayadev, Balakrishna
  • Ahmad, Sagheer

Abrégé

Embodiments herein describe a multiple die system that includes an interposer that connects a first die to a second die. Each die has a bump interface structure that is connected to the other structure using traces in the interposer. However, the bump interface structures may have different orientations relative to each other, or one of the interface structures defines fewer signals than the other. Directly connecting the corresponding signals defined by the structures to each other may be impossible to do in the interposer, or make the interposer too costly. Instead, the embodiments here simplify routing in the interposer by connecting the signals in the bump interface structures in a way that simplifies the routing but jumbles the signals. The jumbled signals can then be corrected using reordering circuitry in the dies (e.g., in the link layer and physical layer).

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants

90.

Low-latency time-to-digital converter with reduced quantization step

      
Numéro d'application 17713901
Numéro de brevet 11923856
Statut Délivré - en vigueur
Date de dépôt 2022-04-05
Date de la première publication 2023-10-05
Date d'octroi 2024-03-05
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Verbruggen, Bob W.
  • Erdmann, Christophe

Abrégé

Methods and apparatus for time-to-digital conversion. An example apparatus includes a first input; a second input; a delay line coupled to the first input and comprising a plurality of first delay elements coupled in series, each of the plurality of first delay elements having a first delay time; a second delay element having an input coupled to the second input and having the first delay time; a third delay element having an input coupled to the second input and having a second delay time, the second delay time being smaller than the first delay time; a first set of arbiters having first inputs coupled to the delay line and having second inputs coupled to an output of the second delay element; and a second set of arbiters having first inputs coupled to the delay line and having second inputs coupled to an output of the third delay element.

Classes IPC  ?

  • H03K 5/00 - Transformation d'impulsions non couvertes par l'un des autres groupes principaux de la présente sous-classe
  • H03K 5/135 - Dispositions ayant une sortie unique et transformant les signaux d'entrée en impulsions délivrées à des intervalles de temps désirés par l'utilisation de signaux de référence de temps, p.ex. des signaux d'horloge
  • H03K 5/14 - Dispositions ayant une sortie unique et transformant les signaux d'entrée en impulsions délivrées à des intervalles de temps désirés par l'utilisation de lignes à retard
  • H03K 5/15 - Dispositions dans lesquelles des impulsions sont délivrées à plusieurs sorties à des instants différents, c. à d. distributeurs d'impulsions
  • H03K 19/0948 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion utilisant des éléments spécifiés utilisant des dispositifs à semi-conducteurs utilisant des transistors à effet de champ utilisant des transistors MOSFET utilisant des dispositifs CMOS
  • H03M 1/82 - Convertisseurs numériques/analogiques avec conversion intermédiaire en intervalle de temps

91.

Time-division multiplexing (TDM) in integrated circuits for routability and runtime enhancement

      
Numéro d'application 17657977
Numéro de brevet 11888693
Statut Délivré - en vigueur
Date de dépôt 2022-04-05
Date de la première publication 2023-10-05
Date d'octroi 2024-01-30
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Ravishankar, Chirag
  • Gaitonde, Dinesh D.

Abrégé

Implementing a circuit design using time-division multiplexing (TDM) can include determining a net signature for each of a plurality of nets of a circuit design. For each net, the net signature specifies location information for a driver and one or more loads of the net. The plurality of nets having a same net signature can be grouped according to distance between drivers of the respective nets. One or more subgroups can be generated based on a TDM ratio for each group. For one or more of the subgroups, a TDM transmitter circuit is connected to a TDM receiver circuit through a selected interconnect, the drivers of the nets of the subgroup are connected to the TDM transmitter circuit, and loads of the nets of the subgroup are connected to the TDM receiver circuit.

Classes IPC  ?

  • H04J 3/02 - Systèmes multiplex à division de temps - Détails
  • H04L 41/08 - Gestion de la configuration des réseaux ou des éléments de réseau
  • H04L 49/10 - TRANSMISSION D'INFORMATION NUMÉRIQUE, p.ex. COMMUNICATION TÉLÉGRAPHIQUE Éléments de commutation de paquets caractérisés par la construction de la matrice de commutation
  • H04L 41/0893 - Affectation de groupes logiques aux éléments de réseau
  • H04L 49/109 - TRANSMISSION D'INFORMATION NUMÉRIQUE, p.ex. COMMUNICATION TÉLÉGRAPHIQUE Éléments de commutation de paquets caractérisés par la construction de la matrice de commutation intégrés sur micropuce, p.ex. interrupteurs sur puce

92.

METHOD OF TESTING STRUCTURES AND STACKING WAFERS

      
Numéro d'application 17712052
Statut En instance
Date de dépôt 2022-04-01
Date de la première publication 2023-10-05
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Wang, Yan
  • Chen, I-Ru
  • Chong, Nui
  • Lin, Hui-Wen

Abrégé

Disclosed herein are integrated circuit (IC) structures and methods for fabricating and testing such IC structures prior to dicing from a semiconductor wafer on which the IC structures are formed. In one example, a method for fabricating an IC structure includes contacting a first plurality of test pads of the IC structure with one or more test probes. The first plurality of test pads are disposed within or on a first dielectric layer within a scribe lane, i.e., a test region. A first metal layer is formed over the first plurality of test pads if a predefined test criteria is met as determined using information obtained through first plurality of test pads using the one or more test probes. The first metal layer is a layer formed in a die region of an IC die that is being fabricated in the wafer.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/78 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels
  • H01L 21/321 - Post-traitement
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux

93.

Command pattern sequencer for memory calibration

      
Numéro d'application 17183183
Numéro de brevet 11775457
Statut Délivré - en vigueur
Date de dépôt 2021-02-23
Date de la première publication 2023-10-03
Date d'octroi 2023-10-03
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Vyas, Amit
  • Gaddam, Ramakrishna Reddy
  • Palanisamy, Karthikeyan

Abrégé

In one example, a command pattern sequencer includes a set of registers to store values used to configure a command sequence for configuring a memory. The command pattern sequencer further includes state machine circuitry coupled to the set of registers, the state machine circuitry configured to generate and execute the command sequence. The command pattern sequencer still further includes timing circuitry configured to manage timing between commands of the command sequence.

Classes IPC  ?

  • G06F 13/16 - Gestion de demandes d'interconnexion ou de transfert pour l'accès au bus de mémoire

94.

Voltage sensing and biasing for wide supply range integrated circuit transceivers

      
Numéro d'application 17747387
Numéro de brevet 11777489
Statut Délivré - en vigueur
Date de dépôt 2022-05-18
Date de la première publication 2023-10-03
Date d'octroi 2023-10-03
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Dubey, Hari Bilash
  • Goel, Milind
  • Akurathi, Venkata Siva Satya Prasad Babu
  • Ekambaram, Sabarathnam
  • Lanka, Sasi Rama Subrahmanyam

Abrégé

A disclosed circuit arrangement detects the supply voltage level to the “device” (SoC, chip, SiP, etc.) and adjusts bias voltages to receiver and transmitter circuits of the device to levels suitable for the device in response to the supply voltage ramping-up during a power-on reset (“POR”) sequence. The circuitry holds the receiver output at a constant logic value while the supply voltage is ramping up and the POR signal is asserted. The disclosed circuitry also protects the transceiver as the voltage domain of the input signal is unknown and the voltage between any two terminals of a transistor of the transceiver cannot exceed a certain level.

Classes IPC  ?

  • H03K 17/22 - Modifications pour assurer un état initial prédéterminé quand la tension d'alimentation a été appliquée
  • H03K 17/10 - Modifications pour augmenter la tension commutée maximale admissible
  • H03K 19/00 - Circuits logiques, c. à d. ayant au moins deux entrées agissant sur une sortie; Circuits d'inversion
  • H03K 19/003 - Modifications pour accroître la fiabilité

95.

ARBITRATION OF COMMANDS BETWEEN NON-VOLATILE MEMORY STORAGE AND REMOTE HOSTS

      
Numéro d'application 17703890
Statut En instance
Date de dépôt 2022-03-24
Date de la première publication 2023-09-28
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Subramanian, Ramesh Ramaiya
  • Kallakuri, Chaitanya

Abrégé

An integrated circuit includes a front-end interface, a back-end interface, a controller, and arbiter circuitry. The front-end interface communicates with a remote host over a front-end fabric. The back-end interface communicates with nonvolatile memory (NVM) subsystems over a back-end fabric. The controller is coupled between the front-end interface and the back-end interface. The controller receives commands from the remote host for the NVM subsystems, and stores the commands in queue pairs associated with the NVM subsystems. The arbiter circuitry receives data for the queue pairs, and selects a command from a first queue pair of the queue pairs based on a comparison of the data to one or more thresholds. The selected command is outputted to one or more of the NVM subsystems.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

96.

EFFICIENCY AND QUALITY OF SERVICE IMPROVEMENTS FOR SYSTEMS WITH HIGHER BANDWIDTH CLIENTS MIXED WITH LOWER BANDWIDTH CLIENTS

      
Numéro d'application 17705087
Statut En instance
Date de dépôt 2022-03-25
Date de la première publication 2023-09-28
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Gupta, Aman
  • Dastidar, Jaideep
  • Cuppett, Jeffrey
  • Ahmad, Sagheer

Abrégé

Methods and apparatus relating to transmission on physical channels, such as in networks on chips (NoCs) or between chiplets, are provided. One example apparatus generally includes a higher bandwidth client; a lower bandwidth client; a first destination; a second destination; and multiple physical channels coupled between the higher bandwidth client, the lower bandwidth client, the first destination, and the second destination, wherein the higher bandwidth client is configured to send first traffic, aggregated across the multiple physical channels, to the first destination and wherein the lower bandwidth client is configured to send second traffic, concurrently with sending the first traffic, from the lower bandwidth client, dispersed over two or more of the multiple physical channels, to the second destination.

Classes IPC  ?

  • H04L 49/109 - TRANSMISSION D'INFORMATION NUMÉRIQUE, p.ex. COMMUNICATION TÉLÉGRAPHIQUE Éléments de commutation de paquets caractérisés par la construction de la matrice de commutation intégrés sur micropuce, p.ex. interrupteurs sur puce
  • H04L 45/24 - Routes multiples
  • H04L 45/74 - Traitement d'adresse pour le routage

97.

Compaction of multiplier and adder circuits

      
Numéro d'application 17014410
Numéro de brevet 11768663
Statut Délivré - en vigueur
Date de dépôt 2020-09-08
Date de la première publication 2023-09-26
Date d'octroi 2023-09-26
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Tiwary, Srijan
  • Gayasen, Aman

Abrégé

Approaches for logic compaction include inputting an optimization directive that specifies one of area optimization or speed optimization to a synthesis tool executing on a computer processor. The synthesis tool identifies a multiplier and/or an adder specified in a circuit design and synthesizing the multiplier into logic having LUT-to-LUT connections between LUTs on separate slices of a programmable integrated circuit (IC) in response to the optimization directive specifying speed optimization. The synthesis tool synthesizes the multiplier and/or adder into logic having LUT-carry connections between LUTs and carry logic within a single slice of the programmable IC in response to the optimization directive specifying area optimization. The method includes implementing a circuit on the programmable IC from the logic having LUT-carry connections in response to the optimization directive specifying area optimization.

Classes IPC  ?

  • G06F 7/506 - Addition; Soustraction en mode parallèle binaire, c. à d. ayant un circuit de maniement de chiffre différent pour chaque position avec génération simultanée de retenue pour plusieurs étages ou propagation simultanée de retenue sur plusieurs étages
  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]
  • G06F 30/34 - Conception de circuits pour circuits reconfigurables, p.ex. réseaux de portes programmables [FPGA] ou circuits logiques programmables [PLD]
  • G06F 7/533 - Réduction du nombre d'étapes ou d'étages d'itération, p.ex. utilisant l'algorithme de Booth, sommation logarithmique, parité-imparité
  • G06F 7/57 - Unités arithmétiques et logiques [UAL], c. à d. dispositions ou dispositifs pour accomplir plusieurs des opérations couvertes par les groupes  ou pour accomplir des opérations logiques

98.

PROGRAMMABLE NON-LINEAR ACTIVATION ENGINE FOR NEURAL NETWORK ACCELERATION

      
Numéro d'application 17655489
Statut En instance
Date de dépôt 2022-03-18
Date de la première publication 2023-09-21
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Patwari, Rajeev
  • Dudha, Chaithanya
  • Tuyls, Jorn
  • Barman, Kaushik
  • Ng, Aaron

Abrégé

A programmable, non-linear (PNL) activation engine for a neural network is capable of receiving input data within a circuit. In response to receiving an instruction corresponding to the input data, the PNL activation engine is capable of selecting a first non-linear activation function from a plurality of non-linear activation functions by decoding the instruction. The PNL activation engine is capable of fetching a first set of coefficients corresponding to the first non-linear activation function from a memory. The PNL activation engine is capable of performing a polynomial approximation of the first non-linear activation function on the input data using the first set of coefficients. The PNL activation engine is capable of outputting a result from the polynomial approximation of the first non-linear activation function.

Classes IPC  ?

99.

Receiver circuitry having a transistor pair for input voltage clipping

      
Numéro d'application 17698871
Numéro de brevet 11881884
Statut Délivré - en vigueur
Date de dépôt 2022-03-18
Date de la première publication 2023-09-21
Date d'octroi 2024-01-23
Propriétaire XILINX, INC. (USA)
Inventeur(s)
  • Dubey, Hari Bilash
  • Subrahmanyam, Lanka Sasi Rama

Abrégé

Receiver circuitry for an input/output device includes first stage circuitry and second stage. The first stage circuitry has a first input to receive an input signal, voltage adjustment circuitry, and differential amplifier circuitry. The first stage circuitry is coupled to the first input and has a transistor pair to receive the input signal, and adjust a voltage value of the input signal to generate an adjusted signal. The differential amplifier circuitry receives the adjusted signal and a reference signal, and generates a first differential signal and a second differential signal. The second stage circuitry receives the first differential signal and the second differential signal, and generates an output signal based on the first differential signal and the second differential signal.

Classes IPC  ?

  • H04B 1/18 - Circuits d'entrée, p.ex. pour le couplage à une antenne ou à une ligne de transmission
  • H04B 1/16 - Circuits

100.

Static and automatic inference of inter-basic block burst transfers for high-level synthesis

      
Numéro d'application 17656236
Numéro de brevet 11762762
Statut Délivré - en vigueur
Date de dépôt 2022-03-24
Date de la première publication 2023-09-19
Date d'octroi 2023-09-19
Propriétaire Xilinx, Inc. (USA)
Inventeur(s)
  • Yu, Lin-Ya
  • Isoard, Alexandre
  • Neema, Hem C.

Abrégé

Static and automatic realization of inter-basic block burst transfers for high-level synthesis can include generating an intermediate representation of a design specified in a high-level programming language, wherein the intermediate representation is specified as a control flow graph, and detecting a plurality of basic blocks in the control flow graph. A determination can be made that plurality of basic blocks represent a plurality of consecutive memory accesses. A sequential access object specifying the plurality of consecutive memory accesses of the plurality of basic blocks is generated. A hardware description language (HDL) version of the design is generated, wherein the plurality of consecutive memory accesses are designated in the HDL version for implementation in hardware using a burst mode.

Classes IPC  ?

  • G06F 11/36 - Prévention d'erreurs en effectuant des tests ou par débogage de logiciel
  • G06F 8/30 - Création ou génération de code source
  1     2     3     ...     36        Prochaine page