United Microelectronics Corp.

Taïwan, Province de Chine

Retour au propriétaire

1-100 de 3 902 pour United Microelectronics Corp. et 1 filiale Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Excluant les filiales
Affiner par Reset Report
Propriétaire / Filiale
[Owner] United Microelectronics Corp. 3 902
United Microdisplay Optronics Corp. 1
Date
Nouveautés (dernières 4 semaines) 38
2024 avril (MACJ) 23
2024 mars 26
2024 février 23
2024 janvier 34
Voir plus
Classe IPC
H01L 29/66 - Types de dispositifs semi-conducteurs 1 110
H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée 760
H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices 536
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 409
H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif 406
Voir plus
Statut
En Instance 474
Enregistré / En vigueur 3 428
Résultats pour  brevets
  1     2     3     ...     40        Prochaine page

1.

LAYOUT PATTERN FOR MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Numéro d'application 18395649
Statut En instance
Date de dépôt 2023-12-25
Date de la première publication 2024-04-18
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Tsai, Ya-Huei
  • Huang, Rai-Min
  • Wang, Yu-Ping
  • Chen, Hung-Yueh

Abrégé

A layout pattern for magnetoresistive random access memory (MRAM) includes a substrate having a first active region, a second active region, and a word line connecting region between the first active region and the second active region, a first gate pattern extending along a first direction from the first active region to the second active region, a second gate pattern extending along the first direction from the first active region to the second active region, a first magnetic tunneling junction (MTJ) between the first gate pattern and the second pattern and within the word line connecting region, and a second MTJ between the first gate pattern and the second gate pattern in the first active region. Preferably, top surfaces of the first MTJ and the second MTJ are coplanar.

Classes IPC  ?

  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure

2.

MAGNETORESISTIVE RANDOM ACCESS MEMORY AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18395646
Statut En instance
Date de dépôt 2023-12-25
Date de la première publication 2024-04-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Hsu, Po-Kai
  • Fan, Ju-Chun
  • Hsu, Ching-Hua
  • Lin, Yi-Yu
  • Chen, Hung-Yueh

Abrégé

A method for fabricating a semiconductor device includes the steps of first forming a first inter-metal dielectric (IMD) layer on a substrate and a metal interconnection in the first IMD layer, forming a magnetic tunneling junction (MTJ) and a top electrode on the metal interconnection, forming a spacer adjacent to the MTJ and the top electrode, forming a second IMD layer around the spacer, forming a cap layer on the top electrode, the spacer, and the second IMD layer, and then patterning the cap layer to form a protective cap on the top electrode and the spacer.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement

3.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18395654
Statut En instance
Date de dépôt 2023-12-25
Date de la première publication 2024-04-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Chun-Ming
  • Huang, Che-Hung
  • Liao, Wen-Jung
  • Hou, Chun-Liang

Abrégé

A method for fabricating high electron mobility transistor (HEMT) includes the steps of: forming a buffer layer on a substrate; forming a first barrier layer on the buffer layer; forming a first hard mask on the first barrier layer; removing the first hard mask and the first barrier layer to form a recess; forming a second barrier layer in the recess; and forming a p-type semiconductor layer on the second barrier layer.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT

4.

QFN PACKAGE AND FABRICATING METHOD OF THE SAME

      
Numéro d'application 17985912
Statut En instance
Date de dépôt 2022-11-14
Date de la première publication 2024-04-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Chiu-Feng
  • Wang, Chen-Hsiao
  • Ho, Kai-Kuang

Abrégé

A QFN package includes a copper lead frame. The copper lead frame includes a die paddle. A die is fixed on the die pad. A coolant passage is disposed within the die paddle. An inlet passage connects to one end of the coolant passage. An outlet passage connects to another end of the coolant passage. A mold compound encapsulates the copper lead frame and the die.

Classes IPC  ?

  • H01L 23/495 - Cadres conducteurs
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

5.

INTEGRATED CIRCUIT STRUCTURE

      
Numéro d'application 18398204
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Aaron
  • Ren, Chi
  • Liu, Yi Hsin

Abrégé

An integrated circuit structure includes an aluminum pad layer on a dielectric stack, a passivation layer covering the aluminum pad layer, and an aluminum shield layer including aluminum routing patterns disposed directly above an embedded memory area and embedded in the dielectric stack. The aluminum shield layer is electrically connected to the uppermost copper layer through a plurality of tungsten vias. The plurality of tungsten vias is embedded in the dielectric stack.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées

6.

MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Numéro d'application 18395762
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Huang, Ting-Hsiang
  • Sheng, Yi-Chung
  • Hsueh, Sheng-Yuan
  • Lee, Kuo-Hsing
  • Kang, Chih-Kai

Abrégé

A semiconductor device includes a substrate having a magnetic tunneling junction (MTJ) region and a logic region, a magnetic tunneling junction (MTJ) on the MTJ region and a first metal interconnection on the MTJ. Preferably, a top view of the MTJ includes a circle and a top view of the first metal interconnection includes an ellipse overlapping the circle.

Classes IPC  ?

  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/10 - Dispositifs magnéto-résistifs
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure

7.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18398190
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Hsu, Chih-Kai
  • Fu, Ssu-L
  • Chiu, Chun-Ya
  • Wu, Chi-Ting
  • Chen, Chin-Hung
  • Lin, Yu-Hsiang

Abrégé

A semiconductor device includes a single diffusion break (SDB) structure dividing a fin-shaped structure into a first portion and a second portion, an isolation structure on the SDB structure, a first spacer adjacent to the isolation structure, a metal gate adjacent to the isolation structure, a shallow trench isolation (STI around the fin-shaped structure, and a second isolation structure on the STI. Preferably, a top surface of the first spacer is lower than a top surface of the isolation structure and a bottom surface of the first spacer is lower than a bottom surface of the metal gate.

Classes IPC  ?

  • H01L 21/8234 - Technologie MIS
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

8.

FIELD EFFECT TRANSISTOR AND FABRICATION METHOD THEREOF

      
Numéro d'application 17990763
Statut En instance
Date de dépôt 2022-11-21
Date de la première publication 2024-04-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Lai, Kuo-Chih
  • Chou, Shih-Min
  • Ho, Nien-Ting
  • Hsiao, Wei-Ming
  • Chen, Li-Han
  • Yu, Szu-Yao
  • Chiu, Chung-Yi

Abrégé

A field effect transistor includes a substrate having a transistor forming region thereon; an insulating layer on the substrate; a first graphene layer on the insulating layer within the transistor forming region; an etch stop layer on the first graphene layer within the transistor forming region; a first inter-layer dielectric layer on the etch stop layer; a gate trench recessed into the first inter-layer dielectric layer and the etch stop layer within the transistor forming region; a second graphene layer on interior surface of the gate trench; a gate dielectric layer on the second graphene layer and on the first inter-layer dielectric layer; and a gate electrode on the gate dielectric layer within the gate trench.

Classes IPC  ?

  • H01L 29/16 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

9.

SILICON ON INSULATOR DEVICE

      
Numéro d'application 18522119
Statut En instance
Date de dépôt 2023-11-28
Date de la première publication 2024-04-18
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s) Yang, Po-Yu

Abrégé

A silicon on insulator (SOI) device includes a wafer and a trap-rich layer. The wafer includes a top silicon layer disposed on a buried oxide layer. The trap-rich layer having nano-dots and an oxide layer are stacked on a high resistivity substrate sequentially, wherein the oxide layer is bonded with the buried oxide layer. Or, a silicon on insulator (SOI) device includes a wafer and a high resistivity substrate. The wafer includes a top silicon layer disposed on a buried oxide layer. The high resistivity substrate is bonded with the buried oxide layer, wherein a positive fixed charge layer is induced at a surface of the buried oxide layer contacting the high resistivity substrate, and a doped negative charge layer is right next to the positive fixed charge layer. The present invention also provides a method of forming said silicon on insulator (SOI) device.

Classes IPC  ?

  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 21/322 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour modifier leurs propriétés internes, p.ex. pour produire des défectuosités internes
  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant
  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/24 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des matériaux semi-conducteurs inorganiques non couverts par les groupes , ,  ou
  • H01L 29/34 - Corps semi-conducteurs ayant des surfaces polies ou rugueuses les défectuosités étant sur la surface

10.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18074548
Statut En instance
Date de dépôt 2022-12-05
Date de la première publication 2024-04-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Ting, Yen-Min
  • Wang, Chuan-Fu
  • Yeh, Yu-Huan

Abrégé

A semiconductor device and a method for forming the same are provided. The semiconductor device includes a first semiconductor structure and a second semiconductor structure. The first semiconductor structure includes a first electrode, a second electrode on one side of the first electrode, and a resistive switching film between the first electrode and the second electrode. The first electrode, the resistive switching film and the second electrode are arranged along the first direction. The second semiconductor structure includes a first via and a first metal layer on the first via along a second direction and electrically connected to the first via. The first direction is perpendicular to the second direction. An upper surface of the first electrode, an upper surface of the second electrode, an upper surface of the resistive switching film and an upper surface of the first metal layer are coplanar.

Classes IPC  ?

  • H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 27/24 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des composants à l'état solide pour le redressement, l'amplification ou la commutation, sans barrière de potentiel ni barrière de surface

11.

HIGH ELECTRON MOBILITY TRANSISTOR WITH IMPROVED BARRIER LAYER

      
Numéro d'application 18542781
Statut En instance
Date de dépôt 2023-12-18
Date de la première publication 2024-04-11
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Yang, Po-Yu

Abrégé

A method for fabricating high electron mobility transistor (HEMT) includes the steps of: forming a buffer layer on a substrate; forming a barrier layer on the buffer layer; forming a gate dielectric layer on the barrier layer; forming a work function metal layer on the gate dielectric layer; patterning the work function metal layer and the gate dielectric layer; forming a gate electrode on the work function metal layer; and forming a source electrode and a drain electrode adjacent to two sides of the gate electrode.

Classes IPC  ?

  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/205 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV comprenant plusieurs composés dans différentes régions semi-conductrices
  • H01L 29/40 - Electrodes
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs

12.

SEMICONDUCTOR MEMORY DEVICE AND FABRICATION METHOD THEREOF

      
Numéro d'application 18542791
Statut En instance
Date de dépôt 2023-12-18
Date de la première publication 2024-04-11
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Hsu, Chia-Chang
  • Weng, Tang-Chun
  • Lin, Cheng-Yi
  • Chen, Yung-Shen
  • Lin, Chia-Hung

Abrégé

A semiconductor memory device includes a substrate having a conductor region thereon, an interlayer dielectric layer on the substrate, and a conductive via electrically connected to the conductor region. The conductive via has a lower portion embedded in the interlayer dielectric layer and an upper portion protruding from a top surface of the interlayer dielectric layer. The upper portion has a rounded top surface. A storage structure conformally covers the rounded top surface.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement

13.

SEMICONDUCTOR PACKAGE, SEMICONDUCTOR BONDING STRUCTURE, AND METHOD OF FABRICATING THE SAME

      
Numéro d'application 17989635
Statut En instance
Date de dépôt 2022-11-17
Date de la première publication 2024-04-11
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Ho, Kai-Kuang
  • Lin, Yu-Jie
  • Hsu, Yi-Feng

Abrégé

The present disclosure relates to a semiconductor package, a semiconductor bonding structure and a method of fabricating the same. The semiconductor package includes a first chip, a second chip and a conductive structure, wherein the conductive structure is disposed at a side of the second chip and over a second upper surface of the first interconnection structure to electrically connect to the first interconnection structure. The semiconductor bonding structure includes a first substrate, a plurality of first interconnection structures, a plurality of chips and a plurality of conductive structures, wherein the conductive structures are respectively disposed at a side of each of the chips and over a second upper surface of each first interconnection structure, to electrically connect to each first interconnection.

Classes IPC  ?

  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition

14.

SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF

      
Numéro d'application 17981504
Statut En instance
Date de dépôt 2022-11-07
Date de la première publication 2024-04-11
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Yang, Po-Yu

Abrégé

The invention discloses a semiconductor device comprising a first transistor and a second transistor, wherein the first transistor and the first transistor are separated by an air gap. The first transistor includes a first fin structure including a first source, a first drain, and a first channel. The second transistor includes a second fin structure including a second source, a second drain, and a second channel.

Classes IPC  ?

  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/775 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à une dimension, p.ex. FET à fil quantique
  • H01L 29/786 - Transistors à couche mince

15.

LATERAL DIFFUSION METAL-OXIDE SEMICONDUCTOR DEVICE

      
Numéro d'application 18528806
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-04-11
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Ling-Chun
  • Chang, Yu-Hung
  • Lee, Kun-Hsien

Abrégé

A lateral diffusion metal-oxide semiconductor (LDMOS) device includes a first gate structure and a second gate structure extending along a first direction on a substrate, a first source region extending along the first direction on one side of the first gate structure, a second source region extending along the first direction on one side of the second gate structure, a drain region extending along the first direction between the first gate structure and the second gate structure, a guard ring surrounding the first gate structure and the second gate structure, and a shallow trench isolation (STI) surrounding the guard ring.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

16.

SEMICONDUCTOR DEVICE

      
Numéro d'application 18544280
Statut En instance
Date de dépôt 2023-12-18
Date de la première publication 2024-04-11
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Heng-Ching
  • Tseng, Yu-Teng
  • Chang, Chu-Chun
  • Yang, Kuo-Yuh
  • Lin, Chia-Huei

Abrégé

A semiconductor device includes a gate structure on a substrate, in which the gate structure includes a main branch extending along a first direction on the substrate and a sub-branch extending along a second direction adjacent to the main branch. The semiconductor device also includes a first doped region overlapping the main branch and the sub-branch according to a top view and a second doped region overlapping the first doped region.

Classes IPC  ?

  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

17.

SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF

      
Numéro d'application 17980571
Statut En instance
Date de dépôt 2022-11-04
Date de la première publication 2024-04-11
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Ho, Kai-Kuang
  • Lin, Yu-Jie
  • Hsu, Yi-Feng

Abrégé

A semiconductor package includes a die stack including a first semiconductor die having a first interconnect structure, and a second semiconductor die having a second interconnect structure direct bonding to the first interconnect structure of the first semiconductor die. The second interconnect structure includes connecting pads disposed in a peripheral region around the first semiconductor die. First connecting elements are disposed on the connecting pads, respectively. A substrate includes second connecting elements on a mounting surface of the substrate. The first connecting elements are electrically connected to the second connecting elements through an anisotropic conductive structure.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

18.

SEMICONDUCTOR STRUCTURE

      
Numéro d'application 17980568
Statut En instance
Date de dépôt 2022-11-04
Date de la première publication 2024-04-11
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s) Lai, Chien-Ming

Abrégé

A semiconductor structure including a first substrate, a first conductive layer, and first bonding pads is provided. The first conductive layer is located on the first substrate. The first conductive layer includes a main body portion and an extension portion. The extension portion is connected to the main body portion and includes a terminal portion away from the main body portion. The first bonding pads are connected to the main body portion and the extension portion. The number of the first bonding pads connected to the terminal portion of the extension portion is plural.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/498 - Connexions électriques sur des substrats isolants

19.

METHOD FOR FABRICATING SPACER

      
Numéro d'application 17983426
Statut En instance
Date de dépôt 2022-11-09
Date de la première publication 2024-04-11
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Hua, Zihao

Abrégé

A method for fabricating a spacer includes steps as follows: Firstly, an etch stop structure is provided. The etch stop structure includes a silicon nitride-containing capping layer covering a substrate. Next, an etching process is performed to remove a portion of the silicon nitride-containing capping layer. A wet process is then performed making a sulfide-containing treatment agent to contact the remaining portion of the silicon nitride-containing capping layer.

Classes IPC  ?

20.

MAGNETORESISTIVE RANDOM ACCESS MEMORY AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17971651
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-04
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Wang, Hui-Lin

Abrégé

A method for fabricating semiconductor device includes the step of forming a magnetic tunneling junction (MTJ) on a substrate, in which the MTJ includes a pinned layer on the substrate, a barrier layer on the pinned layer, and a free layer on the barrier layer and the free layer includes a magnesium oxide (MgO) compound. According to an embodiment of the present invention, the free layer includes a first cap layer on the barrier layer, a spacer on the first cap layer, and a second cap layer on the spacer.

Classes IPC  ?

  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/10 - Emploi de matériaux spécifiés
  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives

21.

OVERLAY TARGET

      
Numéro d'application 17979765
Statut En instance
Date de dépôt 2022-11-03
Date de la première publication 2024-04-04
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s) Cheng, Yu-Wei

Abrégé

An overlay target that includes a plurality of working zones and a plurality of line segments. The line segments in each of the working zones have a plurality of widths and are parallel to each other.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

22.

MEMORY STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17990738
Statut En instance
Date de dépôt 2022-11-21
Date de la première publication 2024-04-04
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Chia-Wen
  • Chen, Chien-Hung
  • Huang, Chia-Hui
  • Chou, Ling Hsiu
  • Hsueh, Jen Yang
  • Hsu, Chih-Yang

Abrégé

A memory structure including a substrate, a first doped region, a second doped region, a first gate, a second gate, a first charge storage structure, and a second charge storage structure is provided. The first gate is located on the first doped region. The second gate is located on the second doped region. The first charge storage structure is located between the first gate and the first doped region. The first charge storage structure includes a first tunneling dielectric layer, a first dielectric layer, and a first charge storage layer. The second charge storage structure is located between the second gate and the second doped region. The second charge storage structure includes a second tunneling dielectric layer, a second dielectric layer, and a second charge storage layer. The thickness of the second tunneling dielectric layer is greater than the thickness of the first tunneling dielectric layer.

Classes IPC  ?

  • H10B 43/30 - Dispositifs EEPROM avec des isolants de grille à piégeage de charge caractérisés par la région noyau de mémoire
  • H10B 41/30 - Dispositifs de mémoire morte reprogrammable électriquement [EEPROM] comprenant des grilles flottantes caractérisés par la région noyau de mémoire

23.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17980538
Statut En instance
Date de dépôt 2022-11-03
Date de la première publication 2024-04-04
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Yang, Po-Yu

Abrégé

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a channel layer on a substrate, forming a first barrier layer on the channel layer, forming a p-type semiconductor layer on the first barrier layer, forming a first patterned passivation layer on the p-type semiconductor layer, and then forming a gate electrode on the first patterned passivation layer. Preferably, the gate electrode includes a first portion adjacent to one side of the first patterned passivation layer and a second portion adjacent to another side of the first patterned passivation layer.

Classes IPC  ?

  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV
  • H01L 29/205 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV comprenant plusieurs composés dans différentes régions semi-conductrices
  • H01L 29/40 - Electrodes
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs

24.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18525909
Statut En instance
Date de dépôt 2023-12-01
Date de la première publication 2024-03-28
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Chun-Hao
  • Chen, Hsin-Yu
  • Hsieh, Shou-Wei

Abrégé

A method for fabricating semiconductor device includes the steps of: providing a substrate having a first region and a second region; forming a first fin-shaped structure on the first region and a second fin-shaped structure on the second region; forming a patterned mask on the second region; and performing a process to enlarge the first fin-shaped structure so that the top surfaces of the first fin-shaped structure and the second fin-shaped structure are different.

Classes IPC  ?

  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/762 - Régions diélectriques
  • H01L 21/8234 - Technologie MIS
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/66 - Types de dispositifs semi-conducteurs

25.

MAGNETORESISTIVE RANDOM ACCESS MEMORY HAVING A RING OF MAGNETIC TUNNELING JUNCTION REGION SURROUNDING AN ARRAY REGION

      
Numéro d'application 18528707
Statut En instance
Date de dépôt 2023-12-04
Date de la première publication 2024-03-28
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chu, Chung-Liang
  • Chen, Jian-Cheng
  • Wang, Yu-Ping
  • Chen, Yu-Ruei

Abrégé

A semiconductor device includes a substrate having an array region defined thereon, a ring of magnetic tunneling junction (MTJ) region surrounding the array region, a gap between the array region and the ring of MTJ region, and metal interconnect patterns overlapping part of the ring of MTJ region. Preferably, the array region includes a magnetic random access memory (MRAM) region and a logic region and the ring of MTJ region further includes a first MTJ region and a second MTJ region extending along a first direction and a third MTJ region and a fourth MTJ region extending along a second direction.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H01L 27/02 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]

26.

LATERAL DIFFUSION METAL-OXIDE SEMICONDUCTOR DEVICE

      
Numéro d'application 18528816
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-03-28
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chou, Ling-Chun
  • Chang, Yu-Hung
  • Lee, Kun-Hsien

Abrégé

A lateral diffusion metal-oxide semiconductor (LDMOS) device includes a first gate structure and a second gate structure extending along a first direction on a substrate, a first source region extending along the first direction on one side of the first gate structure, a second source region extending along the first direction on one side of the second gate structure, a drain region extending along the first direction between the first gate structure and the second gate structure, a guard ring surrounding the first gate structure and the second gate structure, and a shallow trench isolation (STI) surrounding the guard ring.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

27.

RESISTIVE RANDOM ACCESS MEMORY

      
Numéro d'application 18528826
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-03-28
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Kai Jiun
  • Cheng, Chun-Hung
  • Wang, Chuan-Fu

Abrégé

Provided is a resistive random access memory (RRAM). The resistive random access memory includes a plurality of unit structures disposed on a substrate. Each of the unit structures includes a first electrode, and a first metal oxide layer. The first electrode is disposed on the substrate. The first metal oxide layer is disposed on the first electrode. In addition, the resistive random access memory includes a second electrode. The second electrode is disposed on the plurality of unit structures and connected to the plurality of unit structures.

Classes IPC  ?

  • H10N 70/00 - Dispositifs à l’état solide sans barrière de potentiel ni de surface, spécialement adaptés au redressement, à l'amplification, à la production d'oscillations ou à la commutation
  • H10N 70/20 - Dispositifs de commutation multistables, p.ex. memristors

28.

SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME

      
Numéro d'application 18537861
Statut En instance
Date de dépôt 2023-12-13
Date de la première publication 2024-03-28
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Hung, Tien-Tsai
  • Liu, Yi
  • Zhang, Guo-Hai
  • Tey, Ching-Hwa

Abrégé

A semiconductor structure is provided. The semiconductor structure includes a wafer structure. The wafer structure has a normal region and a trimmed region adjacent to the normal region. A top surface of the trimmed region is lower than a top surface of the normal region. The semiconductor structure includes a dielectric layer and a conductive layer disposed on the wafer structure in the normal region and the trimmed region. The semiconductor structure includes a protective layer disposed on a portion of the dielectric layer in the trimmed region and a portion of the conductive layer in the trimmed region. The semiconductor structure includes another dielectric layer disposed on a portion of the dielectric layer in the normal region and a portion of the conductive layer in the normal region and on the protective layer.

Classes IPC  ?

  • H01L 21/762 - Régions diélectriques
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant

29.

SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17970532
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-03-28
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Lin, Chun-Hao

Abrégé

A semiconductor structure includes a semiconductor substrate, a first gate structure, and a first spacer structure. The semiconductor substrate includes a first active structure, and the first gate structure is disposed on the first active structure. The first gate structure includes a first gate oxide layer and a first high dielectric constant (high-k) dielectric layer. The first gate oxide layer includes a U-shaped structure in a cross-sectional view of the first gate structure, and the first high-k dielectric layer is disposed on the first gate oxide layer The first spacer structure is disposed on a sidewall of the first gate structure, and a first portion of the gate oxide layer is located between the first spacer structure and the first high-k dielectric layer in a horizontal direction.

Classes IPC  ?

  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 27/088 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant un corps semi-conducteur comprenant uniquement des composants semi-conducteurs d'un seul type comprenant uniquement des composants à effet de champ les composants étant des transistors à effet de champ à porte isolée
  • H01L 29/40 - Electrodes
  • H01L 29/66 - Types de dispositifs semi-conducteurs

30.

RESISTIVE MEMORY DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17970560
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-03-28
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Wen-Jen
  • Yeh, Yu-Huan
  • Wang, Chuan-Fu

Abrégé

A resistive memory device includes a dielectric layer, a via connection structure, a stacked structure, and an insulating structure. The via connection structure is disposed in the dielectric layer. The stacked structure is disposed on the via connection structure and the dielectric layer. The insulating structure penetrates through the stacked structure in a vertical direction and divides the stacked structure into a first memory cell unit and a second memory cell unit. The first memory cell unit includes a first bottom electrode, and the second memory cell unit includes a second bottom electrode separated from the first bottom electrode by the insulating structure. The via connection structure is electrically connected with the first bottom electrode and the second bottom electrode.

Classes IPC  ?

  • H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 23/48 - Dispositions pour conduire le courant électrique vers le ou hors du corps à l'état solide pendant son fonctionnement, p.ex. fils de connexion ou bornes
  • H01L 27/24 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des composants à l'état solide pour le redressement, l'amplification ou la commutation, sans barrière de potentiel ni barrière de surface

31.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17972569
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-03-28
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Hsu, Ching-Hua
  • Jhang, Jing-Yin

Abrégé

A method for fabricating semiconductor device includes the steps of forming an inter-metal dielectric (IMD) layer on a substrate, forming a metal interconnection in the IMD layer, forming a magnetic tunneling junction (MTJ) on the metal interconnection, and performing a trimming process to shape the MTJ. Preferably, the MTJ includes a first slope and a second slope and the first slope is less than the second slope.

Classes IPC  ?

  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails

32.

OVERLAY TARGET AND OVERLAY METHOD

      
Numéro d'application 17961575
Statut En instance
Date de dépôt 2022-10-07
Date de la première publication 2024-03-28
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s) Liu, Hui

Abrégé

An overlay target includes a plurality of working zones, a plurality of holes in each of the working zones, and a first layer filling in the plurality of holes. The plurality of holes are not filled up by the first layer, and a plurality of spaces are reserved in the plurality of holes.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet
  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test

33.

SOT MRAM STRUCTURE AND FABRICATING METHOD OF THE SAME

      
Numéro d'application 17964935
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-03-28
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Kuo, Chih-Wei
  • Lin, Hung-Chan
  • Chiu, Chung-Yi

Abrégé

An SOT MRAM structure includes a word line. A second source/drain doping region and a fourth source/drain doping region are disposed at the same side of the word line. A first conductive line contacts the second source/drain doping region. A second conductive line contacts the fourth source/drain doping region. The second conductive line includes a third metal pad. A memory element contacts an end of the first conductive line. A second SOT element covers and contacts a top surface of the memory element. The third metal pad covers and contacts part of the top surface of the second SOT element.

Classes IPC  ?

  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/04 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails de dispositifs à effet Hall
  • H01L 43/06 - Dispositifs à effet Hall
  • H01L 43/14 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives pour dispositifs à effet Hall

34.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

      
Numéro d'application 17964925
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-03-21
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Eng, Yi Chuen
  • Chang, Tzu-Feng
  • Hu, Teng-Chuan
  • Chen, Yi-Wen
  • Lin, Yu-Hsiang

Abrégé

A semiconductor device, including a substrate, a first source/drain region, a second source/drain region, and a gate structure, is provided. The substrate has an extra body portion and a fin protruding from a top surface of the substrate, wherein the fin spans the extra body portion. The first source/drain region and the second source/drain region are in the fin. The gate structure spans the fin, is located above the extra body portion, and is located between the first source/drain region and the second source/drain region.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 21/8234 - Technologie MIS
  • H01L 29/08 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode transportant le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/66 - Types de dispositifs semi-conducteurs

35.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FORMING THE SAME

      
Numéro d'application 17965803
Statut En instance
Date de dépôt 2022-10-14
Date de la première publication 2024-03-21
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Yang, Po-Yu

Abrégé

A high electron mobility transistor (HEMT) includes a substrate, a channel layer on the substrate, a barrier layer on the channel layer, a gate structure on the barrier layer, a gate spacer on the gate structure, and a gate contact on the gate spacer. The gate contact includes a first portion and a second portion respectively at two sides of the gate spacer and directly contacting the gate structure.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT

36.

PUMP HEALTH ANALYSIS METHOD AND PUMP HEALTH ANALYSIS DEVICE USING THE SAME

      
Numéro d'application 17983423
Statut En instance
Date de dépôt 2022-11-09
Date de la première publication 2024-03-21
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wu, Wei-Chen
  • Peng, Cheng-Tai
  • Kuo, Chih-Chung

Abrégé

A pump health analysis method and a pump health analysis device using the same are provided. A standard vibration curve of a standard pump is obtained. The standard vibration curve is converted from a time domain to a frequency domain to obtain a first frequency distribution curve. A sample vibration curve of a sample pump is obtained. The sample vibration curve is converted from the time domain to the frequency domain to obtain a second frequency distribution curve. The first frequency distribution curve is compared with the second frequency distribution curve by using a cosine similarity algorithm to obtain a health index of the sample pump.

Classes IPC  ?

  • G01M 99/00 - Matière non prévue dans les autres groupes de la présente sous-classe
  • G01H 13/00 - Mesure de la fréquence de résonance
  • G06N 20/00 - Apprentissage automatique

37.

OPTIMIZATION METHOD FOR MASK PATTERN OPTICAL TRANSFER

      
Numéro d'application 17983610
Statut En instance
Date de dépôt 2022-11-09
Date de la première publication 2024-03-21
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Chun-Yi
  • Huang, Wen-Liang

Abrégé

An optimization method for a mask pattern optical transfer includes steps as follows: First, a projection optical simulation is performed to obtain an optimal pupil configuration scheme corresponding to a virtual mask pattern. Next, a position scanning is performed to change the optimal pupil configuration scheme, so as to generate a plurality of adjusted pupil configuration schemes. A mask pattern transfer simulation is performed to obtain a plurality of pupil configuration schemes-critical dimension relationship data corresponding to the virtual mask pattern. Subsequently, an actual pupil configuration scheme suitable for an actual mask pattern is selected according to the plurality of pupil configuration schemes-critical dimension relationship data, and upon which an actual mask pattern transfer is performed.

Classes IPC  ?

  • G03F 7/20 - Exposition; Appareillages à cet effet

38.

MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Numéro d'application 18515273
Statut En instance
Date de dépôt 2023-11-21
Date de la première publication 2024-03-21
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Tsai, Si-Han
  • Wu, Dong-Ming
  • Weng, Chen-Yi
  • Hsu, Ching-Hua
  • Fan, Ju-Chun
  • Lin, Yi-Yu
  • Chang, Che-Wei
  • Hsu, Po-Kai
  • Jhang, Jing-Yin

Abrégé

A magnetoresistive random access memory (MRAM) device includes a first array region and a second array region on a substrate, a first magnetic tunneling junction (MTJ) on the first array region, a first top electrode on the first MTJ, a second MTJ on the second array region, and a second top electrode on the second MTJ. Preferably, the first top electrode and the second top electrode include different nitrogen to titanium (N/Ti) ratios.

Classes IPC  ?

  • H10N 50/10 - Dispositifs magnéto-résistifs
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H01F 10/32 - Multicouches couplées par échange de spin, p.ex. superréseaux à structure nanométrique
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10N 50/85 - Matériaux actifs magnétiques

39.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18511974
Statut En instance
Date de dépôt 2023-11-16
Date de la première publication 2024-03-14
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Hung, Ching-Wen
  • Feng, Ya-Sheng

Abrégé

A method for fabricating semiconductor device includes the steps of: forming a first inter-metal dielectric (IMD) layer on a substrate; forming a metal interconnection in the first IMD layer; forming a bottom electrode layer and a pinned layer on the first IMD layer; forming a sacrificial layer on the pinned layer; patterning the sacrificial layer, the pinned layer, and the bottom electrode layer to form a first magnetic tunneling junction (MTJ); forming a second IMD layer around the first MTJ; removing the sacrificial layer to form a recess; forming a barrier layer and a free layer in the recess; forming a top electrode layer on the free layer; and patterning the top electrode layer and the free layer to form a second MTJ.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H10N 50/01 - Fabrication ou traitement

40.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18511984
Statut En instance
Date de dépôt 2023-11-16
Date de la première publication 2024-03-14
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Hung, Ching-Wen
  • Feng, Ya-Sheng

Abrégé

A method for fabricating semiconductor device includes the steps of: forming a first inter-metal dielectric (IMD) layer on a substrate; forming a metal interconnection in the first IMD layer; forming a bottom electrode layer and a pinned layer on the first IMD layer; forming a sacrificial layer on the pinned layer; patterning the sacrificial layer, the pinned layer, and the bottom electrode layer to form a first magnetic tunneling junction (MTJ); forming a second IMD layer around the first MTJ; removing the sacrificial layer to form a recess; forming a barrier layer and a free layer in the recess; forming a top electrode layer on the free layer; and patterning the top electrode layer and the free layer to form a second MTJ.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H10N 50/01 - Fabrication ou traitement

41.

Semiconductor Device Comprising Magnetic Tunneling Junctions in a Magnetoresistive Random Access Memory

      
Numéro d'application 18515289
Statut En instance
Date de dépôt 2023-11-21
Date de la première publication 2024-03-14
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Po-Wei
  • Shih, Yi-An
  • Ma, Huan-Chi

Abrégé

A semiconductor device includes a sense amplifier, a first magnetic tunneling junction (MTJ) connected to the sense amplifier at a first distance, a second MTJ connected to the sense amplifier at a second distance, and a third MTJ connected to the sense amplifier at a third distance. Preferably, the first distance is less than the second distance, the second distance is less than the third distance, a critical dimension of the first MTJ is less than a critical dimension of the second MTJ, and the critical dimension of the second MTJ is less than a critical dimension of the third MTJ.

Classes IPC  ?

  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]

42.

SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18519099
Statut En instance
Date de dépôt 2023-11-27
Date de la première publication 2024-03-14
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Ming-Hua
  • Su, Po-Wen
  • Yeh, Chih-Tung

Abrégé

A method for forming a semiconductor structure includes the steps of forming a stacked structure on a substrate, forming an insulating layer on the stacked structure, forming a passivation layer on the insulating layer, performing an etching process to form an opening through the passivation layer and the insulating layer to expose a portion of the stacked structure and an extending portion of the insulating layer, and forming a contact structure filling the opening and directly contacting the stacked structure, wherein the extending portion of the insulating layer is adjacent to a surface of the stacked structure directly contacting the contact structure.

Classes IPC  ?

  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV
  • H01L 29/66 - Types de dispositifs semi-conducteurs

43.

N-TYPE METAL OXIDE SEMICONDUCTOR TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17960146
Statut En instance
Date de dépôt 2022-10-05
Date de la première publication 2024-03-14
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chiu, Chun-Ya
  • Fu, Ssu-I
  • Chen, Chin-Hung
  • Chiou, Jin-Yan
  • Tsai, Wei-Chuan
  • Lin, Yu-Hsiang

Abrégé

An n-type metal oxide semiconductor transistor includes a gate structure, two source/drain regions, two amorphous portions and a silicide. The gate structure is disposed on a substrate. The two source/drain regions are disposed in the substrate and respectively located at two sides of the gate structure, wherein at least one of the source/drain regions is formed with a dislocation. The two amorphous portions are respectively disposed in the two source/drain regions. The silicide is disposed on the two source/drain regions, wherein at least one portion of the silicide overlaps the two amorphous portions.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 21/265 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions
  • H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p.ex. recuit, frittage
  • H01L 29/66 - Types de dispositifs semi-conducteurs

44.

PHOTOMASK STRUCTURE

      
Numéro d'application 17965730
Statut En instance
Date de dépôt 2022-10-13
Date de la première publication 2024-03-14
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Sun, Chia-Chen
  • Liou, En-Chiuan
  • Lin, Song-Yi

Abrégé

A photomask structure having a first region and a second region is provided. The layout pattern density of the first region is smaller than the layout pattern density of the second region. The photomask structure includes a first layout pattern, a second layout pattern, and first assist patterns. The first layout pattern is located in the first region and the second region. The second layout pattern is located in the second region. The second layout pattern is located on one side of the first layout pattern. The first assist patterns are located on the first sidewall of the first layout pattern and separated from each other. The first sidewall is adjacent to the second layout pattern. The first assist patterns are adjacent to a boundary between the first region and the second region. The lengths of two adjacent first assist patterns decrease in the direction away from the boundary.

Classes IPC  ?

  • G03F 1/76 - Création des motifs d'un masque par imagerie
  • G03F 1/36 - Masques à correction d'effets de proximité; Leur préparation, p.ex. procédés de conception à correction d'effets de proximité [OPC optical proximity correction]

45.

MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Numéro d'application 18512058
Statut En instance
Date de dépôt 2023-11-17
Date de la première publication 2024-03-14
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Kuo-Hsing
  • Hsueh, Sheng-Yuan
  • Yeh, Te-Wei
  • Wu, Chien-Liang

Abrégé

A magnetoresistive random access memory (MRAM) includes a first transistor and a second transistor on a substrate, a source line coupled to a first source/drain region of the first transistor, and a first metal interconnection coupled to a second source/drain region of the first transistor. Preferably, the first metal interconnection is extended to overlap the first transistor and the second transistor and the first metal interconnection further includes a first end coupled to the second source/drain region of the first transistor and a second end coupled to a magnetic tunneling junction (MTJ).

Classes IPC  ?

  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • G11C 7/18 - Organisation de lignes de bits; Disposition de lignes de bits
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure

46.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

      
Numéro d'application 18504176
Statut En instance
Date de dépôt 2023-11-08
Date de la première publication 2024-03-07
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Hou, Tai-Cheng
  • Tsai, Fu-Yu
  • Tsai, Bin-Siang
  • Lin, Da-Jun
  • Hou, Chau-Chung
  • Gao, Wei-Xin

Abrégé

A method for fabricating a semiconductor device includes the steps of: providing a substrate, wherein the substrate comprises a MRAM region and a logic region; forming a magnetic tunneling junction (MTJ) on the MRAM region; forming a top electrode on the MTJ; and then performing a flowable chemical vapor deposition (FCVD) process to form a first inter-metal dielectric (IMD) layer around the top electrode and the MTJ.

Classes IPC  ?

  • H10N 50/01 - Fabrication ou traitement
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure

47.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18502103
Statut En instance
Date de dépôt 2023-11-06
Date de la première publication 2024-03-07
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Tseng, Yi-Wei
  • Hsieh, Chin-Yang
  • Jhang, Jing-Yin
  • Lee, Yi-Hui
  • Liu, Ying-Cheng
  • Shih, Yi-An
  • Tseng, I-Ming
  • Wang, Yu-Ping

Abrégé

A semiconductor device includes a magnetic tunneling junction (MTJ) on a substrate, a first spacer on one side of the of the MTJ, a second spacer on another side of the MTJ, a first metal interconnection on the MTJ, and a liner adjacent to the first spacer, the second spacer, and the first metal interconnection. Preferably, each of a top surface of the MTJ and a bottom surface of the first metal interconnection includes a planar surface and two sidewalls of the first metal interconnection are aligned with two sidewalls of the MTJ.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H01L 29/82 - Types de dispositifs semi-conducteurs commandés par la variation du champ magnétique appliqué au dispositif
  • H10N 50/01 - Fabrication ou traitement
  • H10N 50/10 - Dispositifs magnéto-résistifs

48.

RRAM STRUCTURE AND FABRICATING METHOD OF THE SAME

      
Numéro d'application 17950049
Statut En instance
Date de dépôt 2022-09-21
Date de la première publication 2024-03-07
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Cheng, Wei
  • Chen, Zhen
  • Wang, Shen-De

Abrégé

An RRAM structure includes a dielectric layer. A bottom electrode, a resistive switching layer and a top electrode are disposed from bottom to top on the dielectric layer. A spacer is disposed at sidewalls of the bottom electrode, the resistive switching layer and the top electrode. The spacer includes an L-shaped spacer and a sail-shaped spacer. The L-shaped spacer contacts the sidewall of the bottom electrode, the sidewall of the resistive switching layer and the sidewall of the top electrode. The sail-shaped spacer is disposed on the L-shaped spacer. A metal line is disposed on the top electrode and contacts the top electrode and the spacer.

Classes IPC  ?

  • H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 27/24 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des composants à l'état solide pour le redressement, l'amplification ou la commutation, sans barrière de potentiel ni barrière de surface

49.

SEMICONDUCTOR STRUCTURE AND FABRICATING METHOD OF THE SAME

      
Numéro d'application 17953336
Statut En instance
Date de dépôt 2022-09-27
Date de la première publication 2024-03-07
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hsuan-Kai
  • Cheng, Chao-Sheng
  • Huang, Chi-Cheng

Abrégé

A semiconductor structure includes a substrate. The substrate is divided into a first element region, a second element region and a boundary region. The boundary region is disposed between the first element region and a second element region. A first mask structure covers the first element region. A second mask structure is disposed in the second element region. A logic gate structure is disposed within the second element region.

Classes IPC  ?

  • H01L 27/11531 - Fabrication simultanée de périphérie et de cellules de mémoire
  • H01L 21/3105 - Post-traitement
  • H01L 21/8234 - Technologie MIS
  • H01L 27/11521 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par la région noyau de mémoire
  • H01L 27/11548 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par la région limite entre la région noyau et la région de circuit périphérique

50.

MAGNETORESISTIVE RANDOM ACCESS MEMORY AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18500994
Statut En instance
Date de dépôt 2023-11-02
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wu, Jia-Rong
  • Chang, I-Fan
  • Huang, Rai-Min
  • Tsai, Ya-Huei
  • Wang, Yu-Ping

Abrégé

A semiconductor device includes a substrate having a logic region and a magnetoresistive random access memory (MRAM) region, a MTJ on the MRAM region, a metal interconnection on the MTJ, and a blocking layer on the metal interconnection. Preferably, the blocking layer includes a stripe pattern according to a top view and the blocking layer could include metal or a dielectric layer.

Classes IPC  ?

  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H01F 10/32 - Multicouches couplées par échange de spin, p.ex. superréseaux à structure nanométrique
  • H01F 41/34 - Appareils ou procédés spécialement adaptés à la fabrication ou à l'assemblage des aimants, des inductances ou des transformateurs; Appareils ou procédés spécialement adaptés à la fabrication des matériaux caractérisés par leurs propriétés magnétiques pour appliquer un matériau conducteur, isolant ou magnétique sur une pellicule magnétique selon des configurations particulières, p.ex. par lithographie
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/528 - Configuration de la structure d'interconnexion
  • H10N 50/01 - Fabrication ou traitement
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure

51.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18502109
Statut En instance
Date de dépôt 2023-11-06
Date de la première publication 2024-02-29
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Tseng, Yi-Wei
  • Hsieh, Chin-Yang
  • Jhang, Jing-Yin
  • Lee, Yi-Hui
  • Liu, Ying-Cheng
  • Shih, Yi-An
  • Tseng, I-Ming
  • Wang, Yu-Ping

Abrégé

A semiconductor device includes a magnetic tunneling junction (MTJ) on a substrate, a first spacer on one side of the of the MTJ, a second spacer on another side of the MTJ, a first metal interconnection on the MTJ, and a liner adjacent to the first spacer, the second spacer, and the first metal interconnection. Preferably, each of a top surface of the MTJ and a bottom surface of the first metal interconnection includes a planar surface and two sidewalls of the first metal interconnection are aligned with two sidewalls of the MTJ.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H01L 29/82 - Types de dispositifs semi-conducteurs commandés par la variation du champ magnétique appliqué au dispositif
  • H10N 50/01 - Fabrication ou traitement
  • H10N 50/10 - Dispositifs magnéto-résistifs

52.

METHOD FOR FORMING RESISTIVE RANDOM ACCESS MEMORY STRUCTURE

      
Numéro d'application 18503140
Statut En instance
Date de dépôt 2023-11-06
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Wen-Jen
  • Cheng, Chun-Hung
  • Wang, Chuan-Fu

Abrégé

A resistive random access memory (RRAM) structure includes a RRAM cell, spacers and a dielectric layer. The RRAM cell is disposed on a substrate. The spacers are disposed beside the RRAM cell, wherein widths of top surfaces of the spacers are larger than or equal to widths of bottom surfaces of the spacers. The dielectric layer blanketly covers the substrate and sandwiches the RRAM cell, wherein the spacers are located in the dielectric layer. A method for forming the resistive random access memory (RRAM) structure is also provided.

Classes IPC  ?

  • H10N 70/00 - Dispositifs à l’état solide sans barrière de potentiel ni de surface, spécialement adaptés au redressement, à l'amplification, à la production d'oscillations ou à la commutation
  • H10B 63/00 - Dispositifs de mémoire par changement de résistance, p.ex. dispositifs RAM résistifs [ReRAM]

53.

RESISTIVE RANDOM-ACCESS MEMORY DEVICE AND FORMING METHOD THEREOF

      
Numéro d'application 18505083
Statut En instance
Date de dépôt 2023-11-08
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELCTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Wen-Jen
  • Cheng, Chun-Hung
  • Wang, Chuan-Fu

Abrégé

A RRAM device includes a bottom electrode, a resistive material layer, atop electrode, a hard mask and high work function sidewall parts. The bottom electrode, the resistive material layer, the top electrode and the hard mask are sequentially stacked on a substrate. The high work function sidewall parts cover sidewalls of the top electrode and sidewalls of the hard mask, thereby constituting a RRAM cell. A method of forming the RRAM device is also provided.

Classes IPC  ?

  • H10N 70/00 - Dispositifs à l’état solide sans barrière de potentiel ni de surface, spécialement adaptés au redressement, à l'amplification, à la production d'oscillations ou à la commutation
  • H10N 70/20 - Dispositifs de commutation multistables, p.ex. memristors

54.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

      
Numéro d'application 17950120
Statut En instance
Date de dépôt 2022-09-22
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chi, I-Wei
  • Hsu, Te-Chang
  • Wang, Yao-Jhan
  • Wu, Meng-Yun
  • Huang, Chun-Jen

Abrégé

A semiconductor device and method of fabricating the same include a substrate, a first epitaxial layer, a first protection layer, and a contact etching stop layer. The substrate includes a PMOS transistor region, and the first epitaxial layer is disposed on the substrate, within the PMOS transistor region. The first protection layer is disposed on the first epitaxial layer, covering surfaces of the first epitaxial layer. The contact etching stop layer is disposed on the first protection layer and the substrate, wherein a portion of the first protection layer is exposed from the contact etching stop layer.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 29/66 - Types de dispositifs semi-conducteurs

55.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17951119
Statut En instance
Date de dépôt 2022-09-23
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Yeh, Chih-Tung
  • Chang, You-Jia
  • Chen, Bo-Yu
  • Wang, Yun-Chun
  • Lee, Ruey-Chyr
  • Liao, Wen-Jung

Abrégé

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a buffer layer on a substrate, forming a barrier layer on the buffer layer, forming a p-type semiconductor layer on the barrier layer, forming a gate electrode layer on the p-type semiconductor layer, and patterning the gate electrode layer to form a gate electrode. Preferably, the gate electrode includes an inclined sidewall.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique
  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT

56.

METHOD FOR MANUFACTURING SEMICONDUCTOR STRUCTURE

      
Numéro d'application 17963227
Statut En instance
Date de dépôt 2022-10-11
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Li, Kun-Ju
  • Liu, Hsin-Jung
  • Gao, Wei-Xin
  • Chen, Jhih-Yuan
  • Chan, Ang
  • Hou, Chau-Chung

Abrégé

A method for manufacturing a semiconductor structure is provided. The method includes: providing a substrate and a dielectric layer on the substrate; forming a hole in the dielectric layer; forming an initial barrier material layer and a conductive layer on an upper surface of the dielectric layer and in the hole; removing part of the initial barrier material layer and part of the conductive layer to form a barrier material layer and a via element in the hole respectively and expose the upper surface of the dielectric layer. An upper surface of the barrier material layer is higher than the upper surface of the dielectric layer.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

57.

ANTI-FUSE MEMORY

      
Numéro d'application 17966881
Statut En instance
Date de dépôt 2022-10-16
Date de la première publication 2024-02-29
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Chung-Hao
  • Hsu, Chi-Hsiu
  • Lien, Chi-Fa
  • Lin, Ying-Ting
  • Lai, Cheng-Hsiao
  • Mou, Ya-Nan

Abrégé

Provided is an anti-fuse memory including a anti-fuse memory cell including an isolation structure, a select gate, first and second gate insulating layers, an anti-fuse gate, and first, second and third doped regions. The isolation structure is disposed in a substrate. The select gate is disposed on the substrate. The first gate insulating layer is disposed between the select gate and the substrate. The anti-fuse gate is disposed on the substrate and partially overlapped with the isolation structure. The second gate insulating layer is disposed between the anti-fuse gate and the substrate. The first doped region and the second doped region are disposed in the substrate at opposite sides of the select gate, respectively, wherein the first doped region is located between the select gate and the anti-fuse gate. The third doped region is disposed in the substrate and located between the first doped region and the isolation structure.

Classes IPC  ?

  • G11C 17/16 - Mémoires mortes programmables une seule fois; Mémoires semi-permanentes, p.ex. cartes d'information pouvant être replacées à la main dans lesquelles le contenu est déterminé en établissant, en rompant ou en modifiant sélectivement les liaisons de connexion par une modification définitive de l'état des éléments de couplage, p.ex. mémoires PROM utilisant des liaisons électriquement fusibles
  • G11C 16/04 - Mémoires mortes programmables effaçables programmables électriquement utilisant des transistors à seuil variable, p.ex. FAMOS
  • G11C 16/24 - Circuits de commande de lignes de bits

58.

STRUCTURE OF FLASH MEMORY CELL

      
Numéro d'application 18504165
Statut En instance
Date de dépôt 2023-11-08
Date de la première publication 2024-02-29
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Chen, Chih-Jung
  • Yeh, Yu-Jen

Abrégé

A structure of flash memory cell includes a substrate. A floating gate is disposed on the substrate. A low dielectric constant (low-K) spacer is disposed on a sidewall of the floating gate. A trench isolation structure has a base part disposed in the substrate and a protruding part above the substrate protruding from the base part. The low-K spacer is sandwiched between the floating gate and the protruding part of the trench isolation structure.

Classes IPC  ?

  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/762 - Régions diélectriques
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/788 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée à grille flottante

59.

METHOD OF FORMING SEMICONDUCTOR DEVICE

      
Numéro d'application 18505074
Statut En instance
Date de dépôt 2023-11-08
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Kuo-Hsing
  • Lin, Chun-Hsien
  • Hsueh, Sheng-Yuan

Abrégé

The present invention provides a semiconductor device and a method of forming the same, and the semiconductor device includes a substrate, a first interconnect layer and a second interconnect layer. The first interconnect layer is disposed on the substrate, and the first interconnect layer includes a first dielectric layer around a plurality of first magnetic tunneling junction (MTJ) structures. The second interconnect layer is disposed on the first interconnect layer, and the second interconnect layer includes a second dielectric layer around a plurality of second MTJ structures, wherein, the second MTJ structures and the first MTJ structures are alternately arranged along a direction. The semiconductor device may obtain a reduced size of each bit cell under a permissible process window, so as to improve the integration of components.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement
  • H10N 50/85 - Matériaux actifs magnétiques

60.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18506101
Statut En instance
Date de dépôt 2023-11-09
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Yang, Po-Yu
  • Wang, Hsun-Wen

Abrégé

A method for forming a high electron mobility transistor includes the steps of providing a substrate, forming a channel layer, a barrier layer, and a first passivation layer sequentially on the substrate, forming a plurality of trenches through at least a portion of the first passivation layer, forming a second passivation layer on the first passivation layer and covering along sidewalls and bottom surfaces of the trenches, and forming a conductive plate structure on the second passivation layer and filling the trenches.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT

61.

Laterally diffused metal-oxide- semiconductor structure

      
Numéro d'application 17943169
Statut En instance
Date de dépôt 2022-09-12
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Lin, Zong-Han

Abrégé

The invention provides a laterally diffused metal-oxide-semiconductor (LDMOS), which comprises a substrate, a plurality of fin structures on the substrate, a gate structure on the substrate and spanning the fin structures, and a gate contact layer on the gate structure, wherein the gate contact layer is electrically connected with a dummy contact structure.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/40 - Electrodes
  • H01L 29/66 - Types de dispositifs semi-conducteurs

62.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17950113
Statut En instance
Date de dépôt 2022-09-22
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Yeh, Chih-Tung

Abrégé

A semiconductor device includes a substrate, a III-V compound semiconductor layer, a gate structure, a drain structure, and a field plate. The III-V compound semiconductor layer is disposed on the substrate. The gate structure, the drain structure, and the field plate are disposed above the III-V compound semiconductor layer. The field plate is located between the gate structure and the drain structure. The field plate includes a first curved sidewall located at an edge of the field plate adjacent to the drain structure. The first curved sidewall of the field plate may be used to improve electric field distribution in the semiconductor device, and electrical performance of the semiconductor device may be enhanced accordingly.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV
  • H01L 29/40 - Electrodes
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT

63.

METHOD FOR FABRICATING HIGH ELECTRON MOBILITY TRANSISTOR

      
Numéro d'application 17952298
Statut En instance
Date de dépôt 2022-09-25
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Yeh, Chih-Tung

Abrégé

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a buffer layer on a substrate, forming a barrier layer on the buffer layer, forming a p-type semiconductor layer on the barrier layer, performing an ion implantation process to form a hole injection buffer layer (HIBL) on the p-type semiconductor layer, and then forming a gate electrode on the HIBL.

Classes IPC  ?

  • H01L 29/40 - Electrodes
  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV
  • H01L 29/205 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV comprenant plusieurs composés dans différentes régions semi-conductrices
  • H01L 29/47 - Electrodes à barrière de Schottky
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT

64.

MEMORY DEVICE

      
Numéro d'application 17952322
Statut En instance
Date de dépôt 2022-09-26
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Yi, Liang
  • Ren, Chi

Abrégé

A memory device includes a semiconductor substrate, isolation structures, an erase gate, and floating gates. The isolation structures are disposed in the semiconductor substrate. Active regions separated from one another are defined in the semiconductor substrate by the isolation structures, and each of the active regions is elongated in a first direction. The erase gate is disposed on the semiconductor substrate and elongated in a second direction. The erase gate is disposed on the active regions and the isolation structures, and the erase gate is partly disposed in a recess within each of the isolation structures. The floating gates are disposed on the semiconductor substrate. The floating gates are arranged in the second direction and separated from one another, and each of the floating gates is partly disposed under the erase gate in a vertical direction.

Classes IPC  ?

  • H01L 27/11521 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par la région noyau de mémoire
  • H01L 27/11519 - Mémoires mortes programmables électriquement; Procédés de fabrication à étapes multiples de ces dispositifs avec grilles flottantes caractérisées par la configuration vue du dessus
  • H01L 27/11539 - Fabrication simultanée de périphérie et de cellules de mémoire ne comprenant qu’un type de transistor de périphérie avec une couche de diélectrique inter-grille également utilisée en tant que partie du transistor périphérique

65.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17953309
Statut En instance
Date de dépôt 2022-09-26
Date de la première publication 2024-02-29
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Hsieh, Po-Kuang
  • Tsai, Shih-Hung

Abrégé

A method for fabricating a semiconductor device includes the steps of providing a first wafer and a second wafer as the first wafer includes a device wafer and the second wafer includes a blanket wafer, bonding the first wafer and the second wafer, performing a thermal treatment process to separate the second wafer into a first portion and a second portion, and then planarizing the first portion.

Classes IPC  ?

66.

HIGH DENSITY MAGNETORESISTIVE RANDOM ACCESS MEMORY DEVICE

      
Numéro d'application 17944242
Statut En instance
Date de dépôt 2022-09-14
Date de la première publication 2024-02-22
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Hsu, Ching-Hua
  • Weng, Chen-Yi
  • Jhang, Jing-Yin
  • Hsu, Po-Kai

Abrégé

The high-density MRAM device of the present invention has a second interlayer dielectric (ILD) layer covering the capping layer in the MRAM cell array area and the logic area. The thickness of the second ILD layer in the MRAM cell array area is greater than that in the logic area. The composition of the second ILD layer in the logic area is different from the composition of the second ILD layer in the MRAM cell array area.

Classes IPC  ?

  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 43/08 - Résistances commandées par un champ magnétique
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/10 - Emploi de matériaux spécifiés

67.

SEMICONDUCTOR DEVICE ON SILICON-ON-INSULATOR SUBSTRATE

      
Numéro d'application 17950066
Statut En instance
Date de dépôt 2022-09-21
Date de la première publication 2024-02-22
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Verma, Purakh Raj
  • Xing, Su
  • Liao, Jinyu

Abrégé

A semiconductor device includes a substrate having an active area, a first gate line extending along a first direction on the active area, a first gate line extension adjacent to the first gate line and outside the active area, a second gate line extending along the first direction on the active area and adjacent to the first gate line, and a second gate line extension adjacent to the second gate line and outside the active area. Preferably, the active area includes a first indentation and a second indentation, in which the first gate line extension overlaps the first indentation and the second gate line extension overlaps the first indentation.

Classes IPC  ?

  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/786 - Transistors à couche mince
  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant

68.

SURFACE ACOUSTIC WAVE DEVICE

      
Numéro d'application 18499222
Statut En instance
Date de dépôt 2023-11-01
Date de la première publication 2024-02-22
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Chen-Hsiao
  • Ho, Kai-Kuang

Abrégé

A surface acoustic wave (SAW) device including a substrate is provided. Multiple surface acoustic wave elements are disposed on the substrate. A conductive surrounding structure includes: a wall part, disposed on the substrate and surrounding the surface acoustic wave elements; and a lateral layer part, disposed on the wall part. The lateral layer part has an opening above the surface acoustic wave elements. A cap layer covers the lateral layer part and closes the opening.

Classes IPC  ?

  • H03H 9/10 - Montage dans des boîtiers
  • H03H 3/08 - Appareils ou procédés spécialement adaptés à la fabrication de réseaux d'impédance, de circuits résonnants, de résonateurs pour la fabrication de résonateurs ou de réseaux électromécaniques pour la fabrication de résonateurs ou de réseaux utilisant des ondes acoustiques de surface
  • H03H 9/25 - Réseaux comprenant des éléments électromécaniques ou électro-acoustiques; Résonateurs électromécaniques - Détails de réalisation de résonateurs utilisant des ondes acoustiques de surface
  • H03H 9/02 - Réseaux comprenant des éléments électromécaniques ou électro-acoustiques; Résonateurs électromécaniques - Détails
  • H10N 30/02 - Formation d'enceintes ou d'enveloppes
  • H10N 30/88 - Montures; Supports; Enveloppes; Boîtiers

69.

PATTERNING PROCESS

      
Numéro d'application 17947186
Statut En instance
Date de dépôt 2022-09-19
Date de la première publication 2024-02-22
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Teng Yao
  • Tang, Chih-Hsien

Abrégé

A patterning process is provided. The patterning process comprises the following steps. A material layer is formed on a substrate. An imprinting process is performed on the material layer using an imprint stamp to form a patterned material layer having a plurality of pattern portions. A hard mask layer is formed between adjacent pattern portions. An etching process is performed using the hard mask layer as an etching mask to remove the pattern portions and a part of the substrate. The hard mask layer is removed.

Classes IPC  ?

  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/3105 - Post-traitement
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

70.

MANUFACTURING METHOD OF GATE STRUCTURE

      
Numéro d'application 17949186
Statut En instance
Date de dépôt 2022-09-20
Date de la première publication 2024-02-22
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Weng, Tzu-Feng
  • Cheng, Chao-Sheng
  • Huang, Chi-Cheng

Abrégé

A manufacturing method of a gate structure includes the following steps. A semiconductor substrate is provided. An isolation structure is formed in the semiconductor substrate and surrounds an active region in the semiconductor substrate. A gate pattern is formed on the active region and the isolation structure. The gate pattern includes a first gate structure and a first capping layer disposed on the first gate structure. A part of the first capping layer located above an interface between the active region and the isolation structure is removed for exposing a part of the first gate structure located above the interface between the active region and the isolation structure. A removing process is performed for reducing a thickness of the part of the first gate structure located above the interface between the active region and the isolation structure.

Classes IPC  ?

  • H01L 21/762 - Régions diélectriques
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/66 - Types de dispositifs semi-conducteurs

71.

METHOD FOR FORMING RESISTIVE RANDOM-ACCESS MEMORY DEVICE

      
Numéro d'application 18382055
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2024-02-15
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Hsu, Chia-Ching
  • Xiang, Wang
  • Wang, Shen-De

Abrégé

A RRAM (resistive random-access memory) device includes a bottom electrode line, a top electrode island and a resistive material. The bottom electrode line is directly on a first metal structure. The top electrode island is disposed beside the bottom electrode line. The resistive material is sandwiched by a sidewall of the bottom electrode line and a sidewall of the top electrode island. The present invention also provides a method of forming the RRAM device.

Classes IPC  ?

  • H10N 70/00 - Dispositifs à l’état solide sans barrière de potentiel ni de surface, spécialement adaptés au redressement, à l'amplification, à la production d'oscillations ou à la commutation
  • H10B 63/00 - Dispositifs de mémoire par changement de résistance, p.ex. dispositifs RAM résistifs [ReRAM]

72.

SEMICONDUCTOR MEMORY DEVICE AND FABRICATION METHOD THEREOF

      
Numéro d'application 18383473
Statut En instance
Date de dépôt 2023-10-24
Date de la première publication 2024-02-15
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Zhou, Yuan
  • Du, Xian Feng
  • Du, Guoan
  • Zhang, Guohai

Abrégé

A semiconductor memory device includes a substrate having a first interlayer dielectric layer thereon; a lower metal interconnect layer in the first interlayer dielectric layer; a conductive via disposed on the lower metal interconnect layer; a bottom electrode disposed on the conductive via; a dielectric data storage layer having variable resistance disposed on the bottom electrode; a top electrode disposed on the dielectric data storage layer; and a protective layer covering sidewalls of the top electrode, the dielectric data storage layer, and the bottom electrode. The protective layer includes an annular, upwardly protruding portion around a perimeter of the top electrode.

Classes IPC  ?

  • H10N 70/00 - Dispositifs à l’état solide sans barrière de potentiel ni de surface, spécialement adaptés au redressement, à l'amplification, à la production d'oscillations ou à la commutation
  • H10N 70/20 - Dispositifs de commutation multistables, p.ex. memristors

73.

MAGNETIC MEMORY DEVICE AND FABRICATION METHOD THEREOF

      
Numéro d'application 17903998
Statut En instance
Date de dépôt 2022-09-06
Date de la première publication 2024-02-15
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Wang, Hui-Lin

Abrégé

A magnetic memory device includes a bottom electrode layer, a magnetic tunneling junction (MTJ) stack disposed on the bottom electrode layer, a capping layer disposed on the MTJ stack, and a top electrode layer disposed on the capping layer. The top electrode layer comprises RuO2.

Classes IPC  ?

  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails
  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives

74.

SEMICONDUCTOR ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME

      
Numéro d'application 17940021
Statut En instance
Date de dépôt 2022-09-08
Date de la première publication 2024-02-15
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Li, Kun-Ju
  • Liu, Hsin-Jung
  • Wu, Zong-Sian
  • Gao, Wei-Xin
  • Chen, Jhih-Yuan
  • Chan, Ang
  • Hou, Chau-Chung
  • Chien, Hsiang-Chi
  • Lai, I-Ming

Abrégé

A semiconductor assembly and a method for manufacturing the same are provided. The semiconductor assembly includes a first substrate, a first well in the first substrate and having a first doping type, a second substrate, a second well in the second substrate and having a second doping type, a first dielectric layer between the first substrate and the second substrate, and a second dielectric layer between the first substrate and the second substrate. The first doping type is different from the second doping type. The second dielectric layer is bonded to the first dielectric layer. The first well overlaps with the second well in a vertical direction.

Classes IPC  ?

  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 21/8234 - Technologie MIS

75.

METHOD FOR FORMING ALIGNMENT KEYS OF SEMICONDUCTOR STRUCTURE AND SEMICONDUCTOR STRUCTURE HAVING ALIGNMENT KEYS

      
Numéro d'application 17953263
Statut En instance
Date de dépôt 2022-09-26
Date de la première publication 2024-02-15
Propriétaire United Microelectronics Corporation (Taïwan, Province de Chine)
Inventeur(s)
  • Yang, Tsung-Yu
  • Li, Shin-Hung
  • Huang, Shan-Shi
  • Tsao, Ruei Jhe
  • Chang, Che-Hua
  • Chung, Yuan Yu

Abrégé

A method for forming alignment keys of a semiconductor structure includes: forming an oxide pad layer and a passivation layer on a substrate; forming a patterned photoresist layer on the passivation layer, and using the patterned photoresist layer as a mask to remove part of the oxide pad layer and passivation layer and expose the substrate surface in the medium voltage and alignment mark regions; forming oxide portions on the exposed substrate surface, and the oxide portions extending into the first depth of the substrate; forming deep doped wells in the low voltage and medium voltage regions; thinning the oxide portions; forming high-voltage doped wells in the high voltage and alignment mark regions; performing an etching process on the high voltage and alignment mark regions to form a second trench, as an alignment key, having a second depth greater than the first depth in the alignment mark region.

Classes IPC  ?

  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 21/266 - Bombardement par des radiations ondulatoires ou corpusculaires par des radiations d'énergie élevée produisant une implantation d'ions en utilisant des masques
  • H01L 21/311 - Gravure des couches isolantes

76.

LATERAL DIFFUSED METAL OXIDE SEMICONDUCTOR DEVICE

      
Numéro d'application 18383461
Statut En instance
Date de dépôt 2023-10-24
Date de la première publication 2024-02-15
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Lin, Zong-Han

Abrégé

A lateral diffused metal oxide semiconductor (LDMOS) device includes a first fin-shaped structure on a substrate, a second fin-shaped structure adjacent to the first fin-shaped structure, a shallow trench isolation (STI) between the first fin-shaped structure and the second fin-shaped structure, a first gate structure on the first fin-shaped structure, a second gate structure on the second fin-shaped structure, and an air gap between the first gate structure and the second gate structure.

Classes IPC  ?

  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices

77.

RRAM STRUCTURE AND METHOD OF FABRICATING THE SAME

      
Numéro d'application 17938926
Statut En instance
Date de dépôt 2022-09-06
Date de la première publication 2024-02-15
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Chang, Kai-Jiun
  • Cheng, Chun-Hung
  • Wang, Chuan-Fu

Abrégé

An RRAM includes a bottom electrode, a resistive switching layer and a top electrode. The bottom electrode includes an inverted T-shaped profile. The resistive switching layer covers the bottom electrode. The top electrode covers the resistive switching layer. The inverted T-shaped profile includes a bottom element and a vertical element. The vertical element is disposed on the bottom element. The shape of the vertical element includes a rectangle or a trapezoid.

Classes IPC  ?

  • H01L 45/00 - Dispositifs à l'état solide spécialement adaptés pour le redressement, l'amplification, la production d'oscillations ou la commutation, sans barrière de potentiel ni barrière de surface, p.ex. triodes diélectriques; Dispositifs à effet Ovshinsky; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 27/24 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des composants à l'état solide pour le redressement, l'amplification ou la commutation, sans barrière de potentiel ni barrière de surface
  • G11C 13/00 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage non couverts par les groupes , ou

78.

IMAGE SENSOR

      
Numéro d'application 18380649
Statut En instance
Date de dépôt 2023-10-16
Date de la première publication 2024-02-08
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Hsieh, Cheng-Yu

Abrégé

An image sensor includes a semiconductor substrate having a first surface and a second surface opposite to the first surface in a vertical direction, a first isolation structure disposed in the semiconductor substrate for defining pixel regions, a visible light detection structure, an infrared light detection structure, and a reflective layer. The visible light detection structure and the infrared light detection structure are disposed within the same pixel region. The visible light detection structure includes a first portion disposed between the second surface and the infrared light detection structure in the vertical direction and a second portion disposed between the infrared light detection structure and the first isolation structure in a horizontal direction. The infrared light detection structure is disposed between the reflective layer and the first portion in the vertical direction. The second portion is not sandwiched between the reflective layer and the second surface in the vertical direction.

Classes IPC  ?

79.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17899604
Statut En instance
Date de dépôt 2022-08-30
Date de la première publication 2024-02-08
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Zhi-Cheng
  • Chiang, Huai-Tzu
  • Hsieh, Chuang-Han
  • Lee, Kai-Lin

Abrégé

A manufacturing method of a semiconductor device includes the following steps. A III-V compound barrier layer is formed on a III-V compound semiconductor layer. A protection layer is formed on the III-V compound barrier layer. An opening is formed penetrating through the protection layer in a vertical direction and exposing a part of the III-V compound barrier layer. A p-type doped III-V compound material is formed in the opening. A patterned barrier layer is formed on the p-type doped III-V compound material. A contact area between the patterned barrier layer and the p-type doped III-V compound material is less than an area of a top surface of the p-type doped III-V compound material.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition

80.

SEMICONDUCTOR DEVICE INCLUDING MAGNETIC TUNNEL JUNCTION STRUCTURE

      
Numéro d'application 18381627
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2024-02-08
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Kuo, Chih-Wei
  • Hsu, Chia-Chang

Abrégé

A semiconductor device includes a substrate, a first MTJ structure, a second MTJ structure, an interconnection structure including a first metal interconnection and a second metal interconnection disposed on and contacting the first metal interconnection, a fifth metal interconnection, and a sixth metal interconnection. The first MTJ structure, the second MTJ structure, and the interconnection structure are disposed on the substrate. The interconnection structure is located between the first MTJ structure and the second MTJ structure in a first horizontal direction. The fifth metal interconnection and the sixth metal interconnection are disposed under and contact the first MTJ structure and the second MTJ structure, respectively. The fifth metal interconnection includes a barrier layer and a metal layer disposed on the barrier layer. A length of the first MTJ structure in the first horizontal direction is greater than a length of the metal layer in the first horizontal direction.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement

81.

METHOD OF FORMING PROTECTIVE LAYER UTILIZED IN SILICON REMOVE PROCESS

      
Numéro d'application 17880685
Statut En instance
Date de dépôt 2022-08-04
Date de la première publication 2024-02-08
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Liao, Chia-Liang
  • Ng, Chee Hau
  • Wen, Ching-Yang
  • Verma, Purakh Raj

Abrégé

A method of forming a protective layer utilized in a silicon remove process includes bonding a first wafer to a second wafer, wherein the first wafer comprises a first silicon substrate with a first device structure disposed thereon and the second wafer comprises a second silicon substrate with a second device structure disposed thereon. After that, a first trim process is performed to thin laterally an edge of the first wafer and an edge of the second device structure. After the first trim process, a protective layer is formed to cover a back side of the second silicon substrate. After forming the protective layer, a silicon remove process is performed to remove only the first silicon substrate.

Classes IPC  ?

  • H01L 21/762 - Régions diélectriques
  • H01L 21/304 - Traitement mécanique, p.ex. meulage, polissage, coupe
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

82.

CONTROL METHOD OF MULTI-STAGE ETCHING PROCESS AND PROCESSING DEVICE USING THE SAME

      
Numéro d'application 17903417
Statut En instance
Date de dépôt 2022-09-06
Date de la première publication 2024-02-08
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wei, Liang Ju
  • Chiu, Chung-Yi
  • Wu, Zhen
  • Chen, Hsuan-Hsu
  • Chen, Chun-Lung

Abrégé

A control method of a multi-stage etching process and a processing device using the same are provided. The control method of the multi-stage etching process includes the following step S. A stack information of a plurality of hard mask layers is set. An etching target condition is set. Through a machine learning model, a parameter setting recipe of the hard mask layers is generated under the etching target condition. The machine learning model is trained based on the stack information of the hard mask layers, a plurality of process parameters and a process result.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

83.

SEMICONDUCTOR STRUCTURE

      
Numéro d'application 17889389
Statut En instance
Date de dépôt 2022-08-16
Date de la première publication 2024-02-01
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Tsai, Ming-Hua
  • Yan, Hao Ping
  • Kuo, Chin-Chia
  • Chang, Wei Hsuan

Abrégé

A semiconductor structure including a substrate and protection structures is provided. The substrate includes a die region. The die region includes corner regions. The protection structures are located in the corner region. Each of the protection structures has a square top-view pattern. The square top-view patterns located in the same corner region have various sizes.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/544 - Marques appliquées sur le dispositif semi-conducteur, p.ex. marques de repérage, schémas de test
  • H01L 23/58 - Dispositions électriques structurelles non prévues ailleurs pour dispositifs semi-conducteurs

84.

GALLIUM NITRIDE DEVICE AND METHOD FOR MANUFACTURING HIGH ELECTRON MOBILITY TRANSISTOR

      
Numéro d'application 17892098
Statut En instance
Date de dépôt 2022-08-21
Date de la première publication 2024-02-01
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Yeh, Chih Tung
  • Hou, Chun-Liang

Abrégé

A gallium nitride device and a method for manufacturing a high electron mobility transistor are provided. The gallium nitride device includes a substrate, a channel layer disposed on the substrate, a barrier layer disposed on the channel layer, a cap layer disposed on the barrier layer, a gate disposed on the cap layer, a source, a drain, and ohmic sidewall dams. The source and the drain are formed in the cap layer and the barrier layer. Each of the source and the drain has a trench portion, and a contact below the trench portion and protruding into the channel layer. The ohmic sidewall dams are disposed on a sidewall of the trench portion of each of the source and the drain.

Classes IPC  ?

  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation

85.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 17892116
Statut En instance
Date de dépôt 2022-08-21
Date de la première publication 2024-02-01
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Verma, Purakh Raj
  • Wen, Ching-Yang
  • Ng, Chee-Hau
  • Ho, Chin-Wei

Abrégé

A semiconductor device includes a substrate, a high-Q capacitor, an ultra high density capacitor, and an interconnection. At least one trench is formed in the substrate. The high-Q capacitor is disposed on a surface of the substrate, and includes a bottom electrode, an upper electrode located on the bottom electrode, and a first dielectric layer located between the upper and bottom electrodes. The ultra high density capacitor is disposed on the trench of the substrate, and includes a first electrode conformally deposited in the trench, a second electrode located on the first electrode, and a second dielectric layer located between the first and second electrodes. The interconnection connects one of the upper electrode and the bottom electrode to one of the first electrode and the second electrode, and connects the other of the upper electrode and the bottom electrode to the other of the first electrode and the second electrode.

Classes IPC  ?

  • H01L 49/02 - Dispositifs à film mince ou à film épais

86.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17896096
Statut En instance
Date de dépôt 2022-08-26
Date de la première publication 2024-02-01
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Kuo, Chun-Liang
  • Chen, Yen-Hsing
  • Chen, Yen-Lun
  • Shen, Ruei-Hong
  • Yang, Tsung-Mu
  • Wang, Yu-Ren

Abrégé

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a buffer layer on a substrate, forming a barrier layer on the buffer layer, forming a p-type semiconductor layer on the barrier layer, forming a gate electrode on the p-type semiconductor layer, and then forming a source electrode and a drain electrode adjacent to two sides of the gate electrode. Preferably, the buffer layer further includes a bottom portion having a first carbon concentration and a top portion having a second carbon concentration, in which the second carbon concentration is less than the first carbon concentration and a thickness of the bottom portion is less than a thickness of the top portion.

Classes IPC  ?

  • H01L 29/10 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices avec des régions semi-conductrices connectées à une électrode ne transportant pas le courant à redresser, amplifier ou commuter, cette électrode faisant partie d'un dispositif à semi-conducteur qui comporte trois électrodes ou plus
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT
  • H01L 29/66 - Types de dispositifs semi-conducteurs

87.

SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Numéro d'application 18482002
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-02-01
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Verma, Purakh Raj
  • Xing, Su

Abrégé

A semiconductor structure including chips is provided. The chips are arranged in a stack. Each of the chips includes a radio frequency (RF) device. Two adjacent chips are bonded to each other. The RF devices in the chips are connected in parallel. Each of the RF devices includes a gate, a source region, and a drain region. The gates in the RF devices connected in parallel have the same shape and the same size. The source regions in the RF devices connected in parallel have the same shape and the same size. The drain regions in the RF devices connected in parallel have the same shape and the same size.

Classes IPC  ?

  • H01L 23/66 - Adaptations pour la haute fréquence
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

88.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17896106
Statut En instance
Date de dépôt 2022-08-26
Date de la première publication 2024-02-01
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Yeh, Chih-Tung
  • Liao, Wen-Jung

Abrégé

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a buffer layer on a substrate, forming a barrier layer on the buffer layer, forming a p-type semiconductor layer on the barrier layer, forming a hole injection buffer layer (HIBL) on the p-type semiconductor layer, and forming a gate electrode on the HIBL.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

89.

MAGNETORESISTIVE RANDOM ACCESS MEMORY AND METHOD OF MANUFACTURING THE SAME

      
Numéro d'application 18373295
Statut En instance
Date de dépôt 2023-09-27
Date de la première publication 2024-01-25
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Hsieh, Chin-Yang
  • Lee, Yi-Hui
  • Liu, Ying-Cheng
  • Shih, Yi-An
  • Jhang, Jing-Yin
  • Tseng, I-Ming
  • Wang, Yu-Ping
  • Lin, Chien-Ting
  • Ho, Kun-Chen
  • Chou, Yi-Syun
  • Li, Chang-Min
  • Tseng, Yi-Wei
  • Lai, Yu-Tsung
  • Xie, Jun

Abrégé

A method of fabricating magnetoresistive random access memory, including providing a substrate, forming a bottom electrode layer, a magnetic tunnel junction stack, a top electrode layer and a hard mask layer sequentially on the substrate, wherein a material of the top electrode layer is titanium nitride, a material of the hard mask layer is tantalum or tantalum nitride, and a percentage of nitrogen in the titanium nitride gradually decreases from a top surface of top electrode layer to a bottom surface of top electrode layer, and patterning the bottom electrode layer, the magnetic tunnel junction stack, the top electrode layer and the hard mask layer into multiple magnetoresistive random access memory cells.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement

90.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18376437
Statut En instance
Date de dépôt 2023-10-03
Date de la première publication 2024-01-25
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Hsu, Chia-Chang
  • Weng, Chen-Yi
  • Hsieh, Chin-Yang
  • Jhang, Jing-Yin

Abrégé

A semiconductor device includes a substrate comprising a MTJ region and a logic region, a magnetic tunneling junction (MTJ) on the MTJ region, and a contact plug on the logic region. Preferably, the MTJ includes a bottom electrode layer having a gradient concentration, a free layer on the bottom electrode layer, and a top electrode layer on the free layer.

Classes IPC  ?

  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H01F 41/34 - Appareils ou procédés spécialement adaptés à la fabrication ou à l'assemblage des aimants, des inductances ou des transformateurs; Appareils ou procédés spécialement adaptés à la fabrication des matériaux caractérisés par leurs propriétés magnétiques pour appliquer un matériau conducteur, isolant ou magnétique sur une pellicule magnétique selon des configurations particulières, p.ex. par lithographie
  • H01F 10/32 - Multicouches couplées par échange de spin, p.ex. superréseaux à structure nanométrique
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement

91.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18376451
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-01-25
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Chang, Che-Wei
  • Tsai, Si-Han
  • Hsu, Ching-Hua
  • Jhang, Jing-Yin
  • Wang, Yu-Ping

Abrégé

A method for fabricating semiconductor device includes the steps of first forming a magnetic tunneling junction (MTJ) stack on a substrate, in which the MTJ stack includes a pinned layer on the substrate, a barrier layer on the pinned layer, and a free layer on the barrier layer. Next, a top electrode is formed on the MTJ stack, the top electrode, the free layer, and the barrier layer are removed, a first cap layer is formed on the top electrode, the free layer, and the barrier layer, and the first cap layer and the pinned layer are removed to form a MTJ and a spacer adjacent to the MTJ.

Classes IPC  ?

  • G01R 33/09 - Mesure de la direction ou de l'intensité de champs magnétiques ou de flux magnétiques en utilisant des dispositifs galvano-magnétiques des dispositifs magnéto-résistifs
  • G11C 11/02 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement
  • H10N 50/10 - Dispositifs magnéto-résistifs
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10N 50/85 - Matériaux actifs magnétiques

92.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18376820
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-01-25
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Lin, Da-Jun
  • Hou, Tai-Cheng
  • Tsai, Bin-Siang
  • Chien, Ting-An

Abrégé

A semiconductor device includes a magnetic tunneling junction (MTJ) on a substrate, a top electrode on the MTJ, a trapping layer in the top electrode for trapping hydrogen, a first inter-metal dielectric (IMD) layer on the MTJ, and a first metal interconnection in the first IMD layer and on the top electrode. Preferably, a top surface of the trapping layer is lower than a bottom surface of the first IMD layer.

Classes IPC  ?

  • H10N 50/01 - Fabrication ou traitement
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure

93.

MANUFACTURING METHOD OF MEMORY DEVICE

      
Numéro d'application 18376840
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-01-25
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Kuo, Chih-Wei
  • Chiu, Chung-Yi

Abrégé

A manufacturing method of a memory device includes following steps. Memory units are formed on a substrate. Each memory unit includes a first electrode, a second electrode disposed above the first electrode in a vertical direction, and a memory material layer disposed between the first electrode and the second electrode. A conformal spacer layer is formed on the memory units. A non-conformal spacer layer is formed on the conformal spacer layer. A first opening is formed penetrating through a first portion of the non-conformal spacer layer between the memory units in a horizontal direction and a first portion of the conformal spacer layer on the first portion of the conformal spacer layer in the vertical direction. A thickness of a second portion of the non-conformal spacer layer on the second electrode is greater than a thickness of the second portion of the non-conformal spacer layer on the memory material layer.

Classes IPC  ?

  • H10N 50/01 - Fabrication ou traitement
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure

94.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 18376843
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-01-25
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Chang, Che-Wei
  • Tsai, Si-Han
  • Hsu, Ching-Hua
  • Jhang, Jing-Yin
  • Wang, Yu-Ping

Abrégé

A method for fabricating semiconductor device includes the steps of first forming a magnetic tunneling junction (MTJ) stack on a substrate, in which the MTJ stack includes a pinned layer on the substrate, a barrier layer on the pinned layer, and a free layer on the barrier layer. Next, a top electrode is formed on the MTJ stack, the top electrode, the free layer, and the barrier layer are removed, a first cap layer is formed on the top electrode, the free layer, and the barrier layer, and the first cap layer and the pinned layer are removed to form a MTJ and a spacer adjacent to the MTJ.

Classes IPC  ?

  • G01R 33/09 - Mesure de la direction ou de l'intensité de champs magnétiques ou de flux magnétiques en utilisant des dispositifs galvano-magnétiques des dispositifs magnéto-résistifs
  • G11C 11/02 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]
  • H10N 50/01 - Fabrication ou traitement
  • H10N 50/10 - Dispositifs magnéto-résistifs
  • H10N 50/80 - Dispositifs galvanomagnétiques - Détails de structure
  • H10N 50/85 - Matériaux actifs magnétiques

95.

MAGNETORESISTIVE RANDOM ACCESS MEMORY (MRAM) DEVICE AND METHOD OF FORMING THE SAME

      
Numéro d'application 17892162
Statut En instance
Date de dépôt 2022-08-22
Date de la première publication 2024-01-25
Propriétaire United Microelectronics Corp. (Taïwan, Province de Chine)
Inventeur(s)
  • Kuo, Chih-Wei
  • Lin, Hung-Chan
  • Chiu, Chung Yi

Abrégé

Provided is a magnetoresistive random access memory (MRAM) device including a bottom electrode, a magnetic tunnel junction (MTJ) structure, a first spin orbit torque (SOT) layer, a cap layer, a second SOT layer, an etch stop layer, and an upper metal line layer. The MTJ structure is disposed on the bottom electrode. The first SOT layer is disposed on the MTJ structure. The cap layer is disposed on the first SOT layer. The second SOT layer is disposed on the cap layer. The etch stop layer is disposed on the second SOT layer. The upper metal line layer penetrates though the etch stop layer and is landed on the second SOT layer.

Classes IPC  ?

  • H01L 43/04 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails de dispositifs à effet Hall
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/06 - Dispositifs à effet Hall
  • H01L 43/10 - Emploi de matériaux spécifiés
  • H01L 43/14 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives pour dispositifs à effet Hall

96.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FORMING THE SAME

      
Numéro d'application 18373291
Statut En instance
Date de dépôt 2023-09-27
Date de la première publication 2024-01-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Yang, Po-Yu

Abrégé

A method for forming a high electron mobility transistor includes the steps of providing a substrate, sequentially forming a buffer layer, a channel layer, a barrier layer, and a semiconductor gate layer on the substrate, forming a metal gate layer on the semiconductor gate layer, forming an insulating layer on the barrier layer, the semiconductor gate layer, and the metal gate layer and a passivation layer on the insulating layer, forming an opening through the passivation layer and the insulating layer to expose the metal gate layer, and forming a gate electrode on the passivation layer and filling the opening.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT
  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV

97.

METHOD FOR FABRICATING MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Numéro d'application 17887486
Statut En instance
Date de dépôt 2022-08-14
Date de la première publication 2024-01-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Wang, Hui-Lin

Abrégé

A method for fabricating semiconductor device includes the steps of first forming a bottom electrode on a substrate, forming a magnetic tunneling junction (MTJ) on the bottom electrode, and then forming a cap layer on the MTJ. Preferably, the formation of the cap layer could be accomplished by the following steps: (a) forming a first metal layer on the MTJ; (b) forming a second metal layer on the first metal layer; and (c) performing an oxidation process.

Classes IPC  ?

  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails

98.

HIGH ELECTRON MOBILITY TRANSISTOR AND FABRICATING METHOD OF THE SAME

      
Numéro d'application 17885574
Statut En instance
Date de dépôt 2022-08-11
Date de la première publication 2024-01-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s)
  • Lee, Zhi-Cheng
  • Hsieh, Chuang-Han
  • Chiang, Huai-Tzu
  • Lee, Kai-Lin

Abrégé

An HEMT includes a first III-V compound layer, a second III-V compound layer, and a III-V compound cap layer. The second III-V compound layer is disposed on the first III-V compound layer. The III-V compound cap layer covers and contacts the second III-V compound layer. The composition of the III-V compound cap layer and the second III-V compound layer are different from each other. A first opening is disposed in the III-V compound cap layer. A first insulating layer includes two first insulating parts and two second insulating parts. The two first insulating parts cover a top surface of the III-V compound cap layer, and the two second insulating parts respectively contact two sidewalls of the first opening. A second opening is disposed between the two first insulating parts and between the two second insulating parts. A gate electrode is disposed in the second opening.

Classes IPC  ?

  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/20 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, à part les matériaux de dopage ou autres impuretés, uniquement des composés AIIIBV
  • H01L 29/778 - Transistors à effet de champ avec un canal à gaz de porteurs de charge à deux dimensions, p.ex. transistors à effet de champ à haute mobilité électronique HEMT

99.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Numéro d'application 17887530
Statut En instance
Date de dépôt 2022-08-15
Date de la première publication 2024-01-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Wang, Hui-Lin

Abrégé

A method for fabricating semiconductor device includes the steps of first forming a spin orbit torque (SOT) layer on a substrate, forming a magnetic tunneling junction (MTJ) stack on the SOT layer, performing a first etching process to remove part of the MTJ stack, and then performing a second etching process to remove part of the MTJ stack for forming a MTJ.

Classes IPC  ?

  • H01L 43/12 - Procédés ou appareils spécialement adaptés à la fabrication ou le traitement de ces dispositifs ou de leurs parties constitutives
  • G11C 11/16 - Mémoires numériques caractérisées par l'utilisation d'éléments d'emmagasinage électriques ou magnétiques particuliers; Eléments d'emmagasinage correspondants utilisant des éléments magnétiques utilisant des éléments dans lesquels l'effet d'emmagasinage est basé sur l'effet de spin
  • H01L 43/08 - Résistances commandées par un champ magnétique
  • H01L 27/22 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun utilisant des effets de champ magnétique analogues
  • H01L 43/02 - Dispositifs utilisant les effets galvanomagnétiques ou des effets magnétiques analogues; Procédés ou appareils spécialement adaptés à la fabrication ou au traitement de ces dispositifs ou de leurs parties constitutives - Détails

100.

LIGHT-EMITTING DIODE STRUCTURE AND METHOD OF MANUFACTURING THE SAME

      
Numéro d'application 17891116
Statut En instance
Date de dépôt 2022-08-18
Date de la première publication 2024-01-18
Propriétaire UNITED MICROELECTRONICS CORP. (Taïwan, Province de Chine)
Inventeur(s) Zhou, Zhibiao

Abrégé

A light-emitting diode (LED) structure is provided in the present invention, including a substrate, a dielectric layer on the substrate, metal interconnects in the dielectric layer, LED dies on the dielectric layer, wherein each LED die is provided with a front side and a back side, the back side is bonded with the dielectric layer, and the cathode and anode are on the front side of LED die, and bonding lines connecting the cathode and anode on the front side of LED die to the metal interconnects respectively.

Classes IPC  ?

  • H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des groupes principaux , ou dans une seule sous-classe de , , p.ex. circuit hybrides
  • H01L 33/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails
  • H01L 33/62 - Dispositions pour conduire le courant électrique vers le corps semi-conducteur ou depuis celui-ci, p.ex. grille de connexion, fil de connexion ou billes de soudure
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  1     2     3     ...     40        Prochaine page