United Microelectronics Corp.

Taiwan, Province of China

Back to Profile

1-100 of 3,931 for United Microelectronics Corp. and 4 subsidiaries Sort by
Query
Aggregations
IP Type
        Patent 3,911
        Trademark 20
Jurisdiction
        United States 3,915
        Europe 7
        World 5
        Canada 4
Owner / Subsidiary
[Owner] United Microelectronics Corp. 3,921
Hejian Technology (Suzhou) Co., Ltd. 7
HE Jian Technology (Suzhou) Co., Ltd. 2
Nexpower Technology Corp. 1
United Microdisplay Optronics Corp. 1
Date
New (last 4 weeks) 37
2024 April (MTD) 27
2024 March 26
2024 February 23
2024 January 34
See more
IPC Class
H01L 29/66 - Types of semiconductor device 1,113
H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate 760
H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions 537
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof 410
H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device 406
See more
NICE Class
42 - Scientific, technological and industrial services, research and design 19
09 - Scientific and electric apparatus and instruments 14
40 - Treatment of materials; recycling, air and water treatment, 9
16 - Paper, cardboard and goods made from these materials 1
35 - Advertising and business services 1
See more
Status
Pending 474
Registered / In Force 3,457
  1     2     3     ...     40        Next Page

1.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Application Number 18395657
Status Pending
Filing Date 2023-12-25
First Publication Date 2024-04-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chang, Chun-Ming
  • Huang, Che-Hung
  • Liao, Wen-Jung
  • Hou, Chun-Liang

Abstract

A method for fabricating high electron mobility transistor (HEMT) includes the steps of: forming a buffer layer on a substrate; forming a first barrier layer on the buffer layer; forming a first hard mask on the first barrier layer; removing the first hard mask and the first barrier layer to form a recess; forming a second barrier layer in the recess; and forming a p-type semiconductor layer on the second barrier layer.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT

2.

FLASH MEMORY AND MANUFACTURING METHOD THEREOF

      
Application Number 17994009
Status Pending
Filing Date 2022-11-25
First Publication Date 2024-04-25
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor Yeh, Yu-Jen

Abstract

Provided are a flash memory and a manufacturing method thereof. The flash memory includes a floating gate disposed in a substrate, a first, a second and a third dielectric layers, a source region, a drain region, an erase gate on the second dielectric layer, and a select gate. The first dielectric layer is disposed between the floating gate and the substrate. The second dielectric layer covers the exposed surface of the floating gate. The source region is disposed in the substrate at one side of the floating gate and in contact with the first dielectric layer. The drain region is disposed in the substrate at another side of the floating gate and separated from the first dielectric layer. The select gate is disposed on the substrate between the floating gate and the drain region. The third dielectric layer is disposed between the select gate and the substrate.

IPC Classes  ?

  • H01L 29/76 - Unipolar devices
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched

3.

SEMICONDUCTOR PACKAGE STRUCTURE

      
Application Number 17989633
Status Pending
Filing Date 2022-11-17
First Publication Date 2024-04-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Verma, Purakh Raj
  • Wen, Ching-Yang
  • Chen, Xingxing

Abstract

A semiconductor device includes a first wafer having a deep trench capacitor and a second wafer bonded to the first wafer, in which the second wafer includes a first active device on a first silicon-on-insulator (SOI) substrate and a first metal interconnection connected to the first active device and the deep trench capacitor. The first wafer further includes the deep trench capacitor disposed in a substrate, a first inter-layer dielectric (ILD) layer on the deep trench capacitor, a first inter-metal dielectric (IMD) layer on the first ILD layer, and a second metal interconnection in the first ILD layer and the first IMD layer.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 25/16 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices the devices being of types provided for in two or more different main groups of groups , or in a single subclass of , , e.g. forming hybrid circuits
  • H01L 27/12 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
  • H01L 49/02 - Thin-film or thick-film devices

4.

METHOD OF FABRICATING SEMICONDUCTOR DEVICE

      
Application Number 18395616
Status Pending
Filing Date 2023-12-24
First Publication Date 2024-04-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Yang, Po-Yu

Abstract

A method of fabricating a semiconductor device includes the following steps. A substrate is provided. A semiconductor channel layer is formed on the substrate. A semiconductor barrier layer is formed on the semiconductor channel layer. An etching process is performed to expose a portion of the semiconductor channel layer. A dielectric layer is formed to cover the semiconductor barrier layer and the exposed semiconductor channel layer. A first electrode is formed after forming the dielectric layer, where the first electrode includes a body portion and a vertical extension portion, the body portion is electrically connected to the semiconductor barrier layer, and a bottom surface of the vertical extension portion is lower than a top surface of the semiconductor channel layer.

IPC Classes  ?

  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/40 - Electrodes
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT

5.

LAYOUT PATTERN FOR MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Application Number 18395649
Status Pending
Filing Date 2023-12-25
First Publication Date 2024-04-18
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Tsai, Ya-Huei
  • Huang, Rai-Min
  • Wang, Yu-Ping
  • Chen, Hung-Yueh

Abstract

A layout pattern for magnetoresistive random access memory (MRAM) includes a substrate having a first active region, a second active region, and a word line connecting region between the first active region and the second active region, a first gate pattern extending along a first direction from the first active region to the second active region, a second gate pattern extending along the first direction from the first active region to the second active region, a first magnetic tunneling junction (MTJ) between the first gate pattern and the second pattern and within the word line connecting region, and a second MTJ between the first gate pattern and the second gate pattern in the first active region. Preferably, top surfaces of the first MTJ and the second MTJ are coplanar.

IPC Classes  ?

  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H01L 23/528 - Layout of the interconnection structure
  • H10N 50/80 - Constructional details

6.

MAGNETORESISTIVE RANDOM ACCESS MEMORY AND METHOD FOR FABRICATING THE SAME

      
Application Number 18395646
Status Pending
Filing Date 2023-12-25
First Publication Date 2024-04-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Hsu, Po-Kai
  • Fan, Ju-Chun
  • Hsu, Ching-Hua
  • Lin, Yi-Yu
  • Chen, Hung-Yueh

Abstract

A method for fabricating a semiconductor device includes the steps of first forming a first inter-metal dielectric (IMD) layer on a substrate and a metal interconnection in the first IMD layer, forming a magnetic tunneling junction (MTJ) and a top electrode on the metal interconnection, forming a spacer adjacent to the MTJ and the top electrode, forming a second IMD layer around the spacer, forming a cap layer on the top electrode, the spacer, and the second IMD layer, and then patterning the cap layer to form a protective cap on the top electrode and the spacer.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/01 - Manufacture or treatment

7.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Application Number 18395654
Status Pending
Filing Date 2023-12-25
First Publication Date 2024-04-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chang, Chun-Ming
  • Huang, Che-Hung
  • Liao, Wen-Jung
  • Hou, Chun-Liang

Abstract

A method for fabricating high electron mobility transistor (HEMT) includes the steps of: forming a buffer layer on a substrate; forming a first barrier layer on the buffer layer; forming a first hard mask on the first barrier layer; removing the first hard mask and the first barrier layer to form a recess; forming a second barrier layer in the recess; and forming a p-type semiconductor layer on the second barrier layer.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT

8.

QFN PACKAGE AND FABRICATING METHOD OF THE SAME

      
Application Number 17985912
Status Pending
Filing Date 2022-11-14
First Publication Date 2024-04-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Lee, Chiu-Feng
  • Wang, Chen-Hsiao
  • Ho, Kai-Kuang

Abstract

A QFN package includes a copper lead frame. The copper lead frame includes a die paddle. A die is fixed on the die pad. A coolant passage is disposed within the die paddle. An inlet passage connects to one end of the coolant passage. An outlet passage connects to another end of the coolant passage. A mold compound encapsulates the copper lead frame and the die.

IPC Classes  ?

  • H01L 23/495 - Lead-frames
  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

9.

INTEGRATED CIRCUIT STRUCTURE

      
Application Number 18398204
Status Pending
Filing Date 2023-12-28
First Publication Date 2024-04-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chen, Aaron
  • Ren, Chi
  • Liu, Yi Hsin

Abstract

An integrated circuit structure includes an aluminum pad layer on a dielectric stack, a passivation layer covering the aluminum pad layer, and an aluminum shield layer including aluminum routing patterns disposed directly above an embedded memory area and embedded in the dielectric stack. The aluminum shield layer is electrically connected to the uppermost copper layer through a plurality of tungsten vias. The plurality of tungsten vias is embedded in the dielectric stack.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

10.

MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Application Number 18395762
Status Pending
Filing Date 2023-12-26
First Publication Date 2024-04-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Huang, Ting-Hsiang
  • Sheng, Yi-Chung
  • Hsueh, Sheng-Yuan
  • Lee, Kuo-Hsing
  • Kang, Chih-Kai

Abstract

A semiconductor device includes a substrate having a magnetic tunneling junction (MTJ) region and a logic region, a magnetic tunneling junction (MTJ) on the MTJ region and a first metal interconnection on the MTJ. Preferably, a top view of the MTJ includes a circle and a top view of the first metal interconnection includes an ellipse overlapping the circle.

IPC Classes  ?

  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/10 - Magnetoresistive devices
  • H10N 50/80 - Constructional details

11.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18398190
Status Pending
Filing Date 2023-12-28
First Publication Date 2024-04-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Hsu, Chih-Kai
  • Fu, Ssu-L
  • Chiu, Chun-Ya
  • Wu, Chi-Ting
  • Chen, Chin-Hung
  • Lin, Yu-Hsiang

Abstract

A semiconductor device includes a single diffusion break (SDB) structure dividing a fin-shaped structure into a first portion and a second portion, an isolation structure on the SDB structure, a first spacer adjacent to the isolation structure, a metal gate adjacent to the isolation structure, a shallow trench isolation (STI around the fin-shaped structure, and a second isolation structure on the STI. Preferably, a top surface of the first spacer is lower than a top surface of the isolation structure and a bottom surface of the first spacer is lower than a bottom surface of the metal gate.

IPC Classes  ?

  • H01L 21/8234 - MIS technology
  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions

12.

FIELD EFFECT TRANSISTOR AND FABRICATION METHOD THEREOF

      
Application Number 17990763
Status Pending
Filing Date 2022-11-21
First Publication Date 2024-04-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Lai, Kuo-Chih
  • Chou, Shih-Min
  • Ho, Nien-Ting
  • Hsiao, Wei-Ming
  • Chen, Li-Han
  • Yu, Szu-Yao
  • Chiu, Chung-Yi

Abstract

A field effect transistor includes a substrate having a transistor forming region thereon; an insulating layer on the substrate; a first graphene layer on the insulating layer within the transistor forming region; an etch stop layer on the first graphene layer within the transistor forming region; a first inter-layer dielectric layer on the etch stop layer; a gate trench recessed into the first inter-layer dielectric layer and the etch stop layer within the transistor forming region; a second graphene layer on interior surface of the gate trench; a gate dielectric layer on the second graphene layer and on the first inter-layer dielectric layer; and a gate electrode on the gate dielectric layer within the gate trench.

IPC Classes  ?

  • H01L 29/16 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System in uncombined form
  • H01L 29/08 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/10 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate

13.

SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME

      
Application Number 18074548
Status Pending
Filing Date 2022-12-05
First Publication Date 2024-04-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Ting, Yen-Min
  • Wang, Chuan-Fu
  • Yeh, Yu-Huan

Abstract

A semiconductor device and a method for forming the same are provided. The semiconductor device includes a first semiconductor structure and a second semiconductor structure. The first semiconductor structure includes a first electrode, a second electrode on one side of the first electrode, and a resistive switching film between the first electrode and the second electrode. The first electrode, the resistive switching film and the second electrode are arranged along the first direction. The second semiconductor structure includes a first via and a first metal layer on the first via along a second direction and electrically connected to the first via. The first direction is perpendicular to the second direction. An upper surface of the first electrode, an upper surface of the second electrode, an upper surface of the resistive switching film and an upper surface of the first metal layer are coplanar.

IPC Classes  ?

  • H01L 45/00 - Solid state devices specially adapted for rectifying, amplifying, oscillating, or switching without a potential-jump barrier or surface barrier, e.g. dielectric triodes; Ovshinsky-effect devices; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
  • H01L 27/24 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including solid state components for rectifying, amplifying, or switching without a potential-jump barrier or surface barrier

14.

SILICON ON INSULATOR DEVICE

      
Application Number 18522119
Status Pending
Filing Date 2023-11-28
First Publication Date 2024-04-18
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor Yang, Po-Yu

Abstract

A silicon on insulator (SOI) device includes a wafer and a trap-rich layer. The wafer includes a top silicon layer disposed on a buried oxide layer. The trap-rich layer having nano-dots and an oxide layer are stacked on a high resistivity substrate sequentially, wherein the oxide layer is bonded with the buried oxide layer. Or, a silicon on insulator (SOI) device includes a wafer and a high resistivity substrate. The wafer includes a top silicon layer disposed on a buried oxide layer. The high resistivity substrate is bonded with the buried oxide layer, wherein a positive fixed charge layer is induced at a surface of the buried oxide layer contacting the high resistivity substrate, and a doped negative charge layer is right next to the positive fixed charge layer. The present invention also provides a method of forming said silicon on insulator (SOI) device.

IPC Classes  ?

  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 21/322 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to modify their internal properties, e.g. to produce internal imperfections
  • H01L 27/12 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
  • H01L 29/10 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/24 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only inorganic semiconductor materials not provided for in groups , ,  or
  • H01L 29/34 - Semiconductor bodies having polished or roughened surface the imperfections being on the surface

15.

SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF

      
Application Number 17981504
Status Pending
Filing Date 2022-11-07
First Publication Date 2024-04-11
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Yang, Po-Yu

Abstract

The invention discloses a semiconductor device comprising a first transistor and a second transistor, wherein the first transistor and the first transistor are separated by an air gap. The first transistor includes a first fin structure including a first source, a first drain, and a first channel. The second transistor includes a second fin structure including a second source, a second drain, and a second channel.

IPC Classes  ?

  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 27/092 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/775 - Field-effect transistors with one-dimensional charge carrier gas channel, e.g. quantum wire FET
  • H01L 29/786 - Thin-film transistors

16.

SEMICONDUCTOR PACKAGE, SEMICONDUCTOR BONDING STRUCTURE, AND METHOD OF FABRICATING THE SAME

      
Application Number 17989635
Status Pending
Filing Date 2022-11-17
First Publication Date 2024-04-11
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Ho, Kai-Kuang
  • Lin, Yu-Jie
  • Hsu, Yi-Feng

Abstract

The present disclosure relates to a semiconductor package, a semiconductor bonding structure and a method of fabricating the same. The semiconductor package includes a first chip, a second chip and a conductive structure, wherein the conductive structure is disposed at a side of the second chip and over a second upper surface of the first interconnection structure to electrically connect to the first interconnection structure. The semiconductor bonding structure includes a first substrate, a plurality of first interconnection structures, a plurality of chips and a plurality of conductive structures, wherein the conductive structures are respectively disposed at a side of each of the chips and over a second upper surface of each first interconnection structure, to electrically connect to each first interconnection.

IPC Classes  ?

  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement

17.

HIGH ELECTRON MOBILITY TRANSISTOR WITH IMPROVED BARRIER LAYER

      
Application Number 18542781
Status Pending
Filing Date 2023-12-18
First Publication Date 2024-04-11
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Yang, Po-Yu

Abstract

A method for fabricating high electron mobility transistor (HEMT) includes the steps of: forming a buffer layer on a substrate; forming a barrier layer on the buffer layer; forming a gate dielectric layer on the barrier layer; forming a work function metal layer on the gate dielectric layer; patterning the work function metal layer and the gate dielectric layer; forming a gate electrode on the work function metal layer; and forming a source electrode and a drain electrode adjacent to two sides of the gate electrode.

IPC Classes  ?

  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 29/205 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds in different semiconductor regions
  • H01L 29/40 - Electrodes
  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

18.

SEMICONDUCTOR MEMORY DEVICE AND FABRICATION METHOD THEREOF

      
Application Number 18542791
Status Pending
Filing Date 2023-12-18
First Publication Date 2024-04-11
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Hsu, Chia-Chang
  • Weng, Tang-Chun
  • Lin, Cheng-Yi
  • Chen, Yung-Shen
  • Lin, Chia-Hung

Abstract

A semiconductor memory device includes a substrate having a conductor region thereon, an interlayer dielectric layer on the substrate, and a conductive via electrically connected to the conductor region. The conductive via has a lower portion embedded in the interlayer dielectric layer and an upper portion protruding from a top surface of the interlayer dielectric layer. The upper portion has a rounded top surface. A storage structure conformally covers the rounded top surface.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/01 - Manufacture or treatment

19.

LATERAL DIFFUSION METAL-OXIDE SEMICONDUCTOR DEVICE

      
Application Number 18528806
Status Pending
Filing Date 2023-12-05
First Publication Date 2024-04-11
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chou, Ling-Chun
  • Chang, Yu-Hung
  • Lee, Kun-Hsien

Abstract

A lateral diffusion metal-oxide semiconductor (LDMOS) device includes a first gate structure and a second gate structure extending along a first direction on a substrate, a first source region extending along the first direction on one side of the first gate structure, a second source region extending along the first direction on one side of the second gate structure, a drain region extending along the first direction between the first gate structure and the second gate structure, a guard ring surrounding the first gate structure and the second gate structure, and a shallow trench isolation (STI) surrounding the guard ring.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions

20.

SEMICONDUCTOR DEVICE

      
Application Number 18544280
Status Pending
Filing Date 2023-12-18
First Publication Date 2024-04-11
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Lin, Heng-Ching
  • Tseng, Yu-Teng
  • Chang, Chu-Chun
  • Yang, Kuo-Yuh
  • Lin, Chia-Huei

Abstract

A semiconductor device includes a gate structure on a substrate, in which the gate structure includes a main branch extending along a first direction on the substrate and a sub-branch extending along a second direction adjacent to the main branch. The semiconductor device also includes a first doped region overlapping the main branch and the sub-branch according to a top view and a second doped region overlapping the first doped region.

IPC Classes  ?

  • H01L 29/49 - Metal-insulator semiconductor electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate

21.

SEMICONDUCTOR STRUCTURE

      
Application Number 17980568
Status Pending
Filing Date 2022-11-04
First Publication Date 2024-04-11
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor Lai, Chien-Ming

Abstract

A semiconductor structure including a first substrate, a first conductive layer, and first bonding pads is provided. The first conductive layer is located on the first substrate. The first conductive layer includes a main body portion and an extension portion. The extension portion is connected to the main body portion and includes a terminal portion away from the main body portion. The first bonding pads are connected to the main body portion and the extension portion. The number of the first bonding pads connected to the terminal portion of the extension portion is plural.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/498 - Leads on insulating substrates

22.

SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF

      
Application Number 17980571
Status Pending
Filing Date 2022-11-04
First Publication Date 2024-04-11
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Ho, Kai-Kuang
  • Lin, Yu-Jie
  • Hsu, Yi-Feng

Abstract

A semiconductor package includes a die stack including a first semiconductor die having a first interconnect structure, and a second semiconductor die having a second interconnect structure direct bonding to the first interconnect structure of the first semiconductor die. The second interconnect structure includes connecting pads disposed in a peripheral region around the first semiconductor die. First connecting elements are disposed on the connecting pads, respectively. A substrate includes second connecting elements on a mounting surface of the substrate. The first connecting elements are electrically connected to the second connecting elements through an anisotropic conductive structure.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/498 - Leads on insulating substrates
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

23.

METHOD FOR FABRICATING SPACER

      
Application Number 17983426
Status Pending
Filing Date 2022-11-09
First Publication Date 2024-04-11
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Hua, Zihao

Abstract

A method for fabricating a spacer includes steps as follows: Firstly, an etch stop structure is provided. The etch stop structure includes a silicon nitride-containing capping layer covering a substrate. Next, an etching process is performed to remove a portion of the silicon nitride-containing capping layer. A wet process is then performed making a sulfide-containing treatment agent to contact the remaining portion of the silicon nitride-containing capping layer.

IPC Classes  ?

24.

MAGNETORESISTIVE RANDOM ACCESS MEMORY AND METHOD FOR FABRICATING THE SAME

      
Application Number 17971651
Status Pending
Filing Date 2022-10-24
First Publication Date 2024-04-04
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Wang, Hui-Lin

Abstract

A method for fabricating semiconductor device includes the step of forming a magnetic tunneling junction (MTJ) on a substrate, in which the MTJ includes a pinned layer on the substrate, a barrier layer on the pinned layer, and a free layer on the barrier layer and the free layer includes a magnesium oxide (MgO) compound. According to an embodiment of the present invention, the free layer includes a first cap layer on the barrier layer, a spacer on the first cap layer, and a second cap layer on the spacer.

IPC Classes  ?

  • H01L 43/02 - Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details
  • H01L 27/22 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate using similar magnetic field effects
  • H01L 43/10 - Selection of materials
  • H01L 43/12 - Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof

25.

OVERLAY TARGET

      
Application Number 17979765
Status Pending
Filing Date 2022-11-03
First Publication Date 2024-04-04
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor Cheng, Yu-Wei

Abstract

An overlay target that includes a plurality of working zones and a plurality of line segments. The line segments in each of the working zones have a plurality of widths and are parallel to each other.

IPC Classes  ?

26.

MEMORY STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Application Number 17990738
Status Pending
Filing Date 2022-11-21
First Publication Date 2024-04-04
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Wang, Chia-Wen
  • Chen, Chien-Hung
  • Huang, Chia-Hui
  • Chou, Ling Hsiu
  • Hsueh, Jen Yang
  • Hsu, Chih-Yang

Abstract

A memory structure including a substrate, a first doped region, a second doped region, a first gate, a second gate, a first charge storage structure, and a second charge storage structure is provided. The first gate is located on the first doped region. The second gate is located on the second doped region. The first charge storage structure is located between the first gate and the first doped region. The first charge storage structure includes a first tunneling dielectric layer, a first dielectric layer, and a first charge storage layer. The second charge storage structure is located between the second gate and the second doped region. The second charge storage structure includes a second tunneling dielectric layer, a second dielectric layer, and a second charge storage layer. The thickness of the second tunneling dielectric layer is greater than the thickness of the first tunneling dielectric layer.

IPC Classes  ?

  • H10B 43/30 - EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
  • H10B 41/30 - Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

27.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Application Number 17980538
Status Pending
Filing Date 2022-11-03
First Publication Date 2024-04-04
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Yang, Po-Yu

Abstract

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a channel layer on a substrate, forming a first barrier layer on the channel layer, forming a p-type semiconductor layer on the first barrier layer, forming a first patterned passivation layer on the p-type semiconductor layer, and then forming a gate electrode on the first patterned passivation layer. Preferably, the gate electrode includes a first portion adjacent to one side of the first patterned passivation layer and a second portion adjacent to another side of the first patterned passivation layer.

IPC Classes  ?

  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/311 - Etching the insulating layers
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement
  • H01L 29/20 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
  • H01L 29/205 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds in different semiconductor regions
  • H01L 29/40 - Electrodes
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device

28.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18525909
Status Pending
Filing Date 2023-12-01
First Publication Date 2024-03-28
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Lin, Chun-Hao
  • Chen, Hsin-Yu
  • Hsieh, Shou-Wei

Abstract

A method for fabricating semiconductor device includes the steps of: providing a substrate having a first region and a second region; forming a first fin-shaped structure on the first region and a second fin-shaped structure on the second region; forming a patterned mask on the second region; and performing a process to enlarge the first fin-shaped structure so that the top surfaces of the first fin-shaped structure and the second fin-shaped structure are different.

IPC Classes  ?

  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
  • H01L 21/308 - Chemical or electrical treatment, e.g. electrolytic etching using masks
  • H01L 21/311 - Etching the insulating layers
  • H01L 21/762 - Dielectric regions
  • H01L 21/8234 - MIS technology
  • H01L 27/02 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions
  • H01L 29/66 - Types of semiconductor device

29.

MAGNETORESISTIVE RANDOM ACCESS MEMORY HAVING A RING OF MAGNETIC TUNNELING JUNCTION REGION SURROUNDING AN ARRAY REGION

      
Application Number 18528707
Status Pending
Filing Date 2023-12-04
First Publication Date 2024-03-28
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chu, Chung-Liang
  • Chen, Jian-Cheng
  • Wang, Yu-Ping
  • Chen, Yu-Ruei

Abstract

A semiconductor device includes a substrate having an array region defined thereon, a ring of magnetic tunneling junction (MTJ) region surrounding the array region, a gap between the array region and the ring of MTJ region, and metal interconnect patterns overlapping part of the ring of MTJ region. Preferably, the array region includes a magnetic random access memory (MRAM) region and a logic region and the ring of MTJ region further includes a first MTJ region and a second MTJ region extending along a first direction and a third MTJ region and a fourth MTJ region extending along a second direction.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • G11C 11/16 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
  • H01L 27/02 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices

30.

LATERAL DIFFUSION METAL-OXIDE SEMICONDUCTOR DEVICE

      
Application Number 18528816
Status Pending
Filing Date 2023-12-05
First Publication Date 2024-03-28
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chou, Ling-Chun
  • Chang, Yu-Hung
  • Lee, Kun-Hsien

Abstract

A lateral diffusion metal-oxide semiconductor (LDMOS) device includes a first gate structure and a second gate structure extending along a first direction on a substrate, a first source region extending along the first direction on one side of the first gate structure, a second source region extending along the first direction on one side of the second gate structure, a drain region extending along the first direction between the first gate structure and the second gate structure, a guard ring surrounding the first gate structure and the second gate structure, and a shallow trench isolation (STI) surrounding the guard ring.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions

31.

RESISTIVE RANDOM ACCESS MEMORY

      
Application Number 18528826
Status Pending
Filing Date 2023-12-05
First Publication Date 2024-03-28
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Chang, Kai Jiun
  • Cheng, Chun-Hung
  • Wang, Chuan-Fu

Abstract

Provided is a resistive random access memory (RRAM). The resistive random access memory includes a plurality of unit structures disposed on a substrate. Each of the unit structures includes a first electrode, and a first metal oxide layer. The first electrode is disposed on the substrate. The first metal oxide layer is disposed on the first electrode. In addition, the resistive random access memory includes a second electrode. The second electrode is disposed on the plurality of unit structures and connected to the plurality of unit structures.

IPC Classes  ?

  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
  • H10N 70/20 - Multistable switching devices, e.g. memristors

32.

SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME

      
Application Number 18537861
Status Pending
Filing Date 2023-12-13
First Publication Date 2024-03-28
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Hung, Tien-Tsai
  • Liu, Yi
  • Zhang, Guo-Hai
  • Tey, Ching-Hwa

Abstract

A semiconductor structure is provided. The semiconductor structure includes a wafer structure. The wafer structure has a normal region and a trimmed region adjacent to the normal region. A top surface of the trimmed region is lower than a top surface of the normal region. The semiconductor structure includes a dielectric layer and a conductive layer disposed on the wafer structure in the normal region and the trimmed region. The semiconductor structure includes a protective layer disposed on a portion of the dielectric layer in the trimmed region and a portion of the conductive layer in the trimmed region. The semiconductor structure includes another dielectric layer disposed on a portion of the dielectric layer in the normal region and a portion of the conductive layer in the normal region and on the protective layer.

IPC Classes  ?

  • H01L 21/762 - Dielectric regions
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 27/12 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body

33.

OVERLAY TARGET AND OVERLAY METHOD

      
Application Number 17961575
Status Pending
Filing Date 2022-10-07
First Publication Date 2024-03-28
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor Liu, Hui

Abstract

An overlay target includes a plurality of working zones, a plurality of holes in each of the working zones, and a first layer filling in the plurality of holes. The plurality of holes are not filled up by the first layer, and a plurality of spaces are reserved in the plurality of holes.

IPC Classes  ?

  • G03F 7/20 - Exposure; Apparatus therefor
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns

34.

SOT MRAM STRUCTURE AND FABRICATING METHOD OF THE SAME

      
Application Number 17964935
Status Pending
Filing Date 2022-10-13
First Publication Date 2024-03-28
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Kuo, Chih-Wei
  • Lin, Hung-Chan
  • Chiu, Chung-Yi

Abstract

An SOT MRAM structure includes a word line. A second source/drain doping region and a fourth source/drain doping region are disposed at the same side of the word line. A first conductive line contacts the second source/drain doping region. A second conductive line contacts the fourth source/drain doping region. The second conductive line includes a third metal pad. A memory element contacts an end of the first conductive line. A second SOT element covers and contacts a top surface of the memory element. The third metal pad covers and contacts part of the top surface of the second SOT element.

IPC Classes  ?

  • H01L 27/22 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate using similar magnetic field effects
  • H01L 43/04 - Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details of Hall-effect devices
  • H01L 43/06 - Hall-effect devices
  • H01L 43/14 - Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof for Hall-effect devices

35.

SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Application Number 17970532
Status Pending
Filing Date 2022-10-20
First Publication Date 2024-03-28
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Lin, Chun-Hao

Abstract

A semiconductor structure includes a semiconductor substrate, a first gate structure, and a first spacer structure. The semiconductor substrate includes a first active structure, and the first gate structure is disposed on the first active structure. The first gate structure includes a first gate oxide layer and a first high dielectric constant (high-k) dielectric layer. The first gate oxide layer includes a U-shaped structure in a cross-sectional view of the first gate structure, and the first high-k dielectric layer is disposed on the first gate oxide layer The first spacer structure is disposed on a sidewall of the first gate structure, and a first portion of the gate oxide layer is located between the first spacer structure and the first high-k dielectric layer in a horizontal direction.

IPC Classes  ?

  • H01L 29/51 - Insulating materials associated therewith
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 27/088 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
  • H01L 29/40 - Electrodes
  • H01L 29/66 - Types of semiconductor device

36.

RESISTIVE MEMORY DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 17970560
Status Pending
Filing Date 2022-10-20
First Publication Date 2024-03-28
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Wen-Jen
  • Yeh, Yu-Huan
  • Wang, Chuan-Fu

Abstract

A resistive memory device includes a dielectric layer, a via connection structure, a stacked structure, and an insulating structure. The via connection structure is disposed in the dielectric layer. The stacked structure is disposed on the via connection structure and the dielectric layer. The insulating structure penetrates through the stacked structure in a vertical direction and divides the stacked structure into a first memory cell unit and a second memory cell unit. The first memory cell unit includes a first bottom electrode, and the second memory cell unit includes a second bottom electrode separated from the first bottom electrode by the insulating structure. The via connection structure is electrically connected with the first bottom electrode and the second bottom electrode.

IPC Classes  ?

  • H01L 45/00 - Solid state devices specially adapted for rectifying, amplifying, oscillating, or switching without a potential-jump barrier or surface barrier, e.g. dielectric triodes; Ovshinsky-effect devices; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 27/24 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including solid state components for rectifying, amplifying, or switching without a potential-jump barrier or surface barrier

37.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 17972569
Status Pending
Filing Date 2022-10-24
First Publication Date 2024-03-28
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Hsu, Ching-Hua
  • Jhang, Jing-Yin

Abstract

A method for fabricating semiconductor device includes the steps of forming an inter-metal dielectric (IMD) layer on a substrate, forming a metal interconnection in the IMD layer, forming a magnetic tunneling junction (MTJ) on the metal interconnection, and performing a trimming process to shape the MTJ. Preferably, the MTJ includes a first slope and a second slope and the first slope is less than the second slope.

IPC Classes  ?

  • H01L 43/12 - Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
  • H01L 27/22 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate using similar magnetic field effects
  • H01L 43/02 - Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details

38.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FORMING THE SAME

      
Application Number 17965803
Status Pending
Filing Date 2022-10-14
First Publication Date 2024-03-21
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Yang, Po-Yu

Abstract

A high electron mobility transistor (HEMT) includes a substrate, a channel layer on the substrate, a barrier layer on the channel layer, a gate structure on the barrier layer, a gate spacer on the gate structure, and a gate contact on the gate spacer. The gate contact includes a first portion and a second portion respectively at two sides of the gate spacer and directly contacting the gate structure.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT

39.

PUMP HEALTH ANALYSIS METHOD AND PUMP HEALTH ANALYSIS DEVICE USING THE SAME

      
Application Number 17983423
Status Pending
Filing Date 2022-11-09
First Publication Date 2024-03-21
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wu, Wei-Chen
  • Peng, Cheng-Tai
  • Kuo, Chih-Chung

Abstract

A pump health analysis method and a pump health analysis device using the same are provided. A standard vibration curve of a standard pump is obtained. The standard vibration curve is converted from a time domain to a frequency domain to obtain a first frequency distribution curve. A sample vibration curve of a sample pump is obtained. The sample vibration curve is converted from the time domain to the frequency domain to obtain a second frequency distribution curve. The first frequency distribution curve is compared with the second frequency distribution curve by using a cosine similarity algorithm to obtain a health index of the sample pump.

IPC Classes  ?

  • G01M 99/00 - Subject matter not provided for in other groups of this subclass
  • G01H 13/00 - Measuring resonant frequency
  • G06N 20/00 - Machine learning

40.

OPTIMIZATION METHOD FOR MASK PATTERN OPTICAL TRANSFER

      
Application Number 17983610
Status Pending
Filing Date 2022-11-09
First Publication Date 2024-03-21
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chang, Chun-Yi
  • Huang, Wen-Liang

Abstract

An optimization method for a mask pattern optical transfer includes steps as follows: First, a projection optical simulation is performed to obtain an optimal pupil configuration scheme corresponding to a virtual mask pattern. Next, a position scanning is performed to change the optimal pupil configuration scheme, so as to generate a plurality of adjusted pupil configuration schemes. A mask pattern transfer simulation is performed to obtain a plurality of pupil configuration schemes-critical dimension relationship data corresponding to the virtual mask pattern. Subsequently, an actual pupil configuration scheme suitable for an actual mask pattern is selected according to the plurality of pupil configuration schemes-critical dimension relationship data, and upon which an actual mask pattern transfer is performed.

IPC Classes  ?

41.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

      
Application Number 17964925
Status Pending
Filing Date 2022-10-13
First Publication Date 2024-03-21
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Eng, Yi Chuen
  • Chang, Tzu-Feng
  • Hu, Teng-Chuan
  • Chen, Yi-Wen
  • Lin, Yu-Hsiang

Abstract

A semiconductor device, including a substrate, a first source/drain region, a second source/drain region, and a gate structure, is provided. The substrate has an extra body portion and a fin protruding from a top surface of the substrate, wherein the fin spans the extra body portion. The first source/drain region and the second source/drain region are in the fin. The gate structure spans the fin, is located above the extra body portion, and is located between the first source/drain region and the second source/drain region.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 21/8234 - MIS technology
  • H01L 29/08 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/66 - Types of semiconductor device

42.

MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Application Number 18515273
Status Pending
Filing Date 2023-11-21
First Publication Date 2024-03-21
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Tsai, Si-Han
  • Wu, Dong-Ming
  • Weng, Chen-Yi
  • Hsu, Ching-Hua
  • Fan, Ju-Chun
  • Lin, Yi-Yu
  • Chang, Che-Wei
  • Hsu, Po-Kai
  • Jhang, Jing-Yin

Abstract

A magnetoresistive random access memory (MRAM) device includes a first array region and a second array region on a substrate, a first magnetic tunneling junction (MTJ) on the first array region, a first top electrode on the first MTJ, a second MTJ on the second array region, and a second top electrode on the second MTJ. Preferably, the first top electrode and the second top electrode include different nitrogen to titanium (N/Ti) ratios.

IPC Classes  ?

  • H10N 50/10 - Magnetoresistive devices
  • G11C 11/16 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
  • H01F 10/32 - Spin-exchange-coupled multilayers, e.g. nanostructured superlattices
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/80 - Constructional details
  • H10N 50/85 - Magnetic active materials

43.

PHOTOMASK STRUCTURE

      
Application Number 17965730
Status Pending
Filing Date 2022-10-13
First Publication Date 2024-03-14
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Sun, Chia-Chen
  • Liou, En-Chiuan
  • Lin, Song-Yi

Abstract

A photomask structure having a first region and a second region is provided. The layout pattern density of the first region is smaller than the layout pattern density of the second region. The photomask structure includes a first layout pattern, a second layout pattern, and first assist patterns. The first layout pattern is located in the first region and the second region. The second layout pattern is located in the second region. The second layout pattern is located on one side of the first layout pattern. The first assist patterns are located on the first sidewall of the first layout pattern and separated from each other. The first sidewall is adjacent to the second layout pattern. The first assist patterns are adjacent to a boundary between the first region and the second region. The lengths of two adjacent first assist patterns decrease in the direction away from the boundary.

IPC Classes  ?

  • G03F 1/76 - Patterning of masks by imaging
  • G03F 1/36 - Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

44.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18511974
Status Pending
Filing Date 2023-11-16
First Publication Date 2024-03-14
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Hung, Ching-Wen
  • Feng, Ya-Sheng

Abstract

A method for fabricating semiconductor device includes the steps of: forming a first inter-metal dielectric (IMD) layer on a substrate; forming a metal interconnection in the first IMD layer; forming a bottom electrode layer and a pinned layer on the first IMD layer; forming a sacrificial layer on the pinned layer; patterning the sacrificial layer, the pinned layer, and the bottom electrode layer to form a first magnetic tunneling junction (MTJ); forming a second IMD layer around the first MTJ; removing the sacrificial layer to form a recess; forming a barrier layer and a free layer in the recess; forming a top electrode layer on the free layer; and patterning the top electrode layer and the free layer to form a second MTJ.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H10N 50/01 - Manufacture or treatment

45.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18511984
Status Pending
Filing Date 2023-11-16
First Publication Date 2024-03-14
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Hung, Ching-Wen
  • Feng, Ya-Sheng

Abstract

A method for fabricating semiconductor device includes the steps of: forming a first inter-metal dielectric (IMD) layer on a substrate; forming a metal interconnection in the first IMD layer; forming a bottom electrode layer and a pinned layer on the first IMD layer; forming a sacrificial layer on the pinned layer; patterning the sacrificial layer, the pinned layer, and the bottom electrode layer to form a first magnetic tunneling junction (MTJ); forming a second IMD layer around the first MTJ; removing the sacrificial layer to form a recess; forming a barrier layer and a free layer in the recess; forming a top electrode layer on the free layer; and patterning the top electrode layer and the free layer to form a second MTJ.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H10N 50/01 - Manufacture or treatment

46.

Semiconductor Device Comprising Magnetic Tunneling Junctions in a Magnetoresistive Random Access Memory

      
Application Number 18515289
Status Pending
Filing Date 2023-11-21
First Publication Date 2024-03-14
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Po-Wei
  • Shih, Yi-An
  • Ma, Huan-Chi

Abstract

A semiconductor device includes a sense amplifier, a first magnetic tunneling junction (MTJ) connected to the sense amplifier at a first distance, a second MTJ connected to the sense amplifier at a second distance, and a third MTJ connected to the sense amplifier at a third distance. Preferably, the first distance is less than the second distance, the second distance is less than the third distance, a critical dimension of the first MTJ is less than a critical dimension of the second MTJ, and the critical dimension of the second MTJ is less than a critical dimension of the third MTJ.

IPC Classes  ?

  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices

47.

SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME

      
Application Number 18519099
Status Pending
Filing Date 2023-11-27
First Publication Date 2024-03-14
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chang, Ming-Hua
  • Su, Po-Wen
  • Yeh, Chih-Tung

Abstract

A method for forming a semiconductor structure includes the steps of forming a stacked structure on a substrate, forming an insulating layer on the stacked structure, forming a passivation layer on the insulating layer, performing an etching process to form an opening through the passivation layer and the insulating layer to expose a portion of the stacked structure and an extending portion of the insulating layer, and forming a contact structure filling the opening and directly contacting the stacked structure, wherein the extending portion of the insulating layer is adjacent to a surface of the stacked structure directly contacting the contact structure.

IPC Classes  ?

  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
  • H01L 21/311 - Etching the insulating layers
  • H01L 29/20 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
  • H01L 29/66 - Types of semiconductor device

48.

N-TYPE METAL OXIDE SEMICONDUCTOR TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Application Number 17960146
Status Pending
Filing Date 2022-10-05
First Publication Date 2024-03-14
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chiu, Chun-Ya
  • Fu, Ssu-I
  • Chen, Chin-Hung
  • Chiou, Jin-Yan
  • Tsai, Wei-Chuan
  • Lin, Yu-Hsiang

Abstract

An n-type metal oxide semiconductor transistor includes a gate structure, two source/drain regions, two amorphous portions and a silicide. The gate structure is disposed on a substrate. The two source/drain regions are disposed in the substrate and respectively located at two sides of the gate structure, wherein at least one of the source/drain regions is formed with a dislocation. The two amorphous portions are respectively disposed in the two source/drain regions. The silicide is disposed on the two source/drain regions, wherein at least one portion of the silicide overlaps the two amorphous portions.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 21/265 - Bombardment with wave or particle radiation with high-energy radiation producing ion implantation
  • H01L 21/324 - Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
  • H01L 29/66 - Types of semiconductor device

49.

MAGNETORESISTIVE RANDOM ACCESS MEMORY

      
Application Number 18512058
Status Pending
Filing Date 2023-11-17
First Publication Date 2024-03-14
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Lee, Kuo-Hsing
  • Hsueh, Sheng-Yuan
  • Yeh, Te-Wei
  • Wu, Chien-Liang

Abstract

A magnetoresistive random access memory (MRAM) includes a first transistor and a second transistor on a substrate, a source line coupled to a first source/drain region of the first transistor, and a first metal interconnection coupled to a second source/drain region of the first transistor. Preferably, the first metal interconnection is extended to overlap the first transistor and the second transistor and the first metal interconnection further includes a first end coupled to the second source/drain region of the first transistor and a second end coupled to a magnetic tunneling junction (MTJ).

IPC Classes  ?

  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • G11C 7/18 - Bit line organisation; Bit line lay-out
  • H10N 50/80 - Constructional details

50.

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

      
Application Number 18504176
Status Pending
Filing Date 2023-11-08
First Publication Date 2024-03-07
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Hou, Tai-Cheng
  • Tsai, Fu-Yu
  • Tsai, Bin-Siang
  • Lin, Da-Jun
  • Hou, Chau-Chung
  • Gao, Wei-Xin

Abstract

A method for fabricating a semiconductor device includes the steps of: providing a substrate, wherein the substrate comprises a MRAM region and a logic region; forming a magnetic tunneling junction (MTJ) on the MRAM region; forming a top electrode on the MTJ; and then performing a flowable chemical vapor deposition (FCVD) process to form a first inter-metal dielectric (IMD) layer around the top electrode and the MTJ.

IPC Classes  ?

  • H10N 50/01 - Manufacture or treatment
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/80 - Constructional details

51.

RRAM STRUCTURE AND FABRICATING METHOD OF THE SAME

      
Application Number 17950049
Status Pending
Filing Date 2022-09-21
First Publication Date 2024-03-07
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Cheng, Wei
  • Chen, Zhen
  • Wang, Shen-De

Abstract

An RRAM structure includes a dielectric layer. A bottom electrode, a resistive switching layer and a top electrode are disposed from bottom to top on the dielectric layer. A spacer is disposed at sidewalls of the bottom electrode, the resistive switching layer and the top electrode. The spacer includes an L-shaped spacer and a sail-shaped spacer. The L-shaped spacer contacts the sidewall of the bottom electrode, the sidewall of the resistive switching layer and the sidewall of the top electrode. The sail-shaped spacer is disposed on the L-shaped spacer. A metal line is disposed on the top electrode and contacts the top electrode and the spacer.

IPC Classes  ?

  • H01L 45/00 - Solid state devices specially adapted for rectifying, amplifying, oscillating, or switching without a potential-jump barrier or surface barrier, e.g. dielectric triodes; Ovshinsky-effect devices; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
  • H01L 27/24 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including solid state components for rectifying, amplifying, or switching without a potential-jump barrier or surface barrier

52.

SEMICONDUCTOR STRUCTURE AND FABRICATING METHOD OF THE SAME

      
Application Number 17953336
Status Pending
Filing Date 2022-09-27
First Publication Date 2024-03-07
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hsuan-Kai
  • Cheng, Chao-Sheng
  • Huang, Chi-Cheng

Abstract

A semiconductor structure includes a substrate. The substrate is divided into a first element region, a second element region and a boundary region. The boundary region is disposed between the first element region and a second element region. A first mask structure covers the first element region. A second mask structure is disposed in the second element region. A logic gate structure is disposed within the second element region.

IPC Classes  ?

  • H01L 27/11531 - Simultaneous manufacturing of periphery and memory cells
  • H01L 21/3105 - After-treatment
  • H01L 21/8234 - MIS technology
  • H01L 27/11521 - Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the memory core region
  • H01L 27/11548 - Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the boundary region between the core and peripheral circuit regions

53.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18502103
Status Pending
Filing Date 2023-11-06
First Publication Date 2024-03-07
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Tseng, Yi-Wei
  • Hsieh, Chin-Yang
  • Jhang, Jing-Yin
  • Lee, Yi-Hui
  • Liu, Ying-Cheng
  • Shih, Yi-An
  • Tseng, I-Ming
  • Wang, Yu-Ping

Abstract

A semiconductor device includes a magnetic tunneling junction (MTJ) on a substrate, a first spacer on one side of the of the MTJ, a second spacer on another side of the MTJ, a first metal interconnection on the MTJ, and a liner adjacent to the first spacer, the second spacer, and the first metal interconnection. Preferably, each of a top surface of the MTJ and a bottom surface of the first metal interconnection includes a planar surface and two sidewalls of the first metal interconnection are aligned with two sidewalls of the MTJ.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • G11C 5/06 - Arrangements for interconnecting storage elements electrically, e.g. by wiring
  • G11C 11/16 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
  • H01L 29/82 - Types of semiconductor device controllable by variation of the magnetic field applied to the device
  • H10N 50/01 - Manufacture or treatment
  • H10N 50/10 - Magnetoresistive devices

54.

MAGNETORESISTIVE RANDOM ACCESS MEMORY AND METHOD FOR FABRICATING THE SAME

      
Application Number 18500994
Status Pending
Filing Date 2023-11-02
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wu, Jia-Rong
  • Chang, I-Fan
  • Huang, Rai-Min
  • Tsai, Ya-Huei
  • Wang, Yu-Ping

Abstract

A semiconductor device includes a substrate having a logic region and a magnetoresistive random access memory (MRAM) region, a MTJ on the MRAM region, a metal interconnection on the MTJ, and a blocking layer on the metal interconnection. Preferably, the blocking layer includes a stripe pattern according to a top view and the blocking layer could include metal or a dielectric layer.

IPC Classes  ?

  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • G11C 11/16 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
  • H01F 10/32 - Spin-exchange-coupled multilayers, e.g. nanostructured superlattices
  • H01F 41/34 - Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film in patterns, e.g. by lithography
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
  • H01L 23/528 - Layout of the interconnection structure
  • H10N 50/01 - Manufacture or treatment
  • H10N 50/80 - Constructional details

55.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18502109
Status Pending
Filing Date 2023-11-06
First Publication Date 2024-02-29
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Tseng, Yi-Wei
  • Hsieh, Chin-Yang
  • Jhang, Jing-Yin
  • Lee, Yi-Hui
  • Liu, Ying-Cheng
  • Shih, Yi-An
  • Tseng, I-Ming
  • Wang, Yu-Ping

Abstract

A semiconductor device includes a magnetic tunneling junction (MTJ) on a substrate, a first spacer on one side of the of the MTJ, a second spacer on another side of the MTJ, a first metal interconnection on the MTJ, and a liner adjacent to the first spacer, the second spacer, and the first metal interconnection. Preferably, each of a top surface of the MTJ and a bottom surface of the first metal interconnection includes a planar surface and two sidewalls of the first metal interconnection are aligned with two sidewalls of the MTJ.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • G11C 5/06 - Arrangements for interconnecting storage elements electrically, e.g. by wiring
  • G11C 11/16 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
  • H01L 29/82 - Types of semiconductor device controllable by variation of the magnetic field applied to the device
  • H10N 50/01 - Manufacture or treatment
  • H10N 50/10 - Magnetoresistive devices

56.

METHOD FOR FORMING RESISTIVE RANDOM ACCESS MEMORY STRUCTURE

      
Application Number 18503140
Status Pending
Filing Date 2023-11-06
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Wen-Jen
  • Cheng, Chun-Hung
  • Wang, Chuan-Fu

Abstract

A resistive random access memory (RRAM) structure includes a RRAM cell, spacers and a dielectric layer. The RRAM cell is disposed on a substrate. The spacers are disposed beside the RRAM cell, wherein widths of top surfaces of the spacers are larger than or equal to widths of bottom surfaces of the spacers. The dielectric layer blanketly covers the substrate and sandwiches the RRAM cell, wherein the spacers are located in the dielectric layer. A method for forming the resistive random access memory (RRAM) structure is also provided.

IPC Classes  ?

  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
  • H10B 63/00 - Resistance change memory devices, e.g. resistive RAM [ReRAM] devices

57.

RESISTIVE RANDOM-ACCESS MEMORY DEVICE AND FORMING METHOD THEREOF

      
Application Number 18505083
Status Pending
Filing Date 2023-11-08
First Publication Date 2024-02-29
Owner UNITED MICROELCTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Wen-Jen
  • Cheng, Chun-Hung
  • Wang, Chuan-Fu

Abstract

A RRAM device includes a bottom electrode, a resistive material layer, atop electrode, a hard mask and high work function sidewall parts. The bottom electrode, the resistive material layer, the top electrode and the hard mask are sequentially stacked on a substrate. The high work function sidewall parts cover sidewalls of the top electrode and sidewalls of the hard mask, thereby constituting a RRAM cell. A method of forming the RRAM device is also provided.

IPC Classes  ?

  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
  • H10N 70/20 - Multistable switching devices, e.g. memristors

58.

SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

      
Application Number 17950120
Status Pending
Filing Date 2022-09-22
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chi, I-Wei
  • Hsu, Te-Chang
  • Wang, Yao-Jhan
  • Wu, Meng-Yun
  • Huang, Chun-Jen

Abstract

A semiconductor device and method of fabricating the same include a substrate, a first epitaxial layer, a first protection layer, and a contact etching stop layer. The substrate includes a PMOS transistor region, and the first epitaxial layer is disposed on the substrate, within the PMOS transistor region. The first protection layer is disposed on the first epitaxial layer, covering surfaces of the first epitaxial layer. The contact etching stop layer is disposed on the first protection layer and the substrate, wherein a portion of the first protection layer is exposed from the contact etching stop layer.

IPC Classes  ?

  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 29/66 - Types of semiconductor device

59.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Application Number 17951119
Status Pending
Filing Date 2022-09-23
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Yeh, Chih-Tung
  • Chang, You-Jia
  • Chen, Bo-Yu
  • Wang, Yun-Chun
  • Lee, Ruey-Chyr
  • Liao, Wen-Jung

Abstract

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a buffer layer on a substrate, forming a barrier layer on the buffer layer, forming a p-type semiconductor layer on the barrier layer, forming a gate electrode layer on the p-type semiconductor layer, and patterning the gate electrode layer to form a gate electrode. Preferably, the gate electrode includes an inclined sidewall.

IPC Classes  ?

  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
  • H01L 21/306 - Chemical or electrical treatment, e.g. electrolytic etching
  • H01L 29/20 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT

60.

METHOD FOR MANUFACTURING SEMICONDUCTOR STRUCTURE

      
Application Number 17963227
Status Pending
Filing Date 2022-10-11
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Li, Kun-Ju
  • Liu, Hsin-Jung
  • Gao, Wei-Xin
  • Chen, Jhih-Yuan
  • Chan, Ang
  • Hou, Chau-Chung

Abstract

A method for manufacturing a semiconductor structure is provided. The method includes: providing a substrate and a dielectric layer on the substrate; forming a hole in the dielectric layer; forming an initial barrier material layer and a conductive layer on an upper surface of the dielectric layer and in the hole; removing part of the initial barrier material layer and part of the conductive layer to form a barrier material layer and a via element in the hole respectively and expose the upper surface of the dielectric layer. An upper surface of the barrier material layer is higher than the upper surface of the dielectric layer.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

61.

Laterally diffused metal-oxide- semiconductor structure

      
Application Number 17943169
Status Pending
Filing Date 2022-09-12
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Lin, Zong-Han

Abstract

The invention provides a laterally diffused metal-oxide-semiconductor (LDMOS), which comprises a substrate, a plurality of fin structures on the substrate, a gate structure on the substrate and spanning the fin structures, and a gate contact layer on the gate structure, wherein the gate contact layer is electrically connected with a dummy contact structure.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 29/40 - Electrodes
  • H01L 29/66 - Types of semiconductor device

62.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 17950113
Status Pending
Filing Date 2022-09-22
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Yeh, Chih-Tung

Abstract

A semiconductor device includes a substrate, a III-V compound semiconductor layer, a gate structure, a drain structure, and a field plate. The III-V compound semiconductor layer is disposed on the substrate. The gate structure, the drain structure, and the field plate are disposed above the III-V compound semiconductor layer. The field plate is located between the gate structure and the drain structure. The field plate includes a first curved sidewall located at an edge of the field plate adjacent to the drain structure. The first curved sidewall of the field plate may be used to improve electric field distribution in the semiconductor device, and electrical performance of the semiconductor device may be enhanced accordingly.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/20 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
  • H01L 29/40 - Electrodes
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT

63.

METHOD FOR FABRICATING HIGH ELECTRON MOBILITY TRANSISTOR

      
Application Number 17952298
Status Pending
Filing Date 2022-09-25
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Yeh, Chih-Tung

Abstract

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a buffer layer on a substrate, forming a barrier layer on the buffer layer, forming a p-type semiconductor layer on the barrier layer, performing an ion implantation process to form a hole injection buffer layer (HIBL) on the p-type semiconductor layer, and then forming a gate electrode on the HIBL.

IPC Classes  ?

  • H01L 29/40 - Electrodes
  • H01L 29/20 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
  • H01L 29/205 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds in different semiconductor regions
  • H01L 29/47 - Schottky barrier electrodes
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT

64.

MEMORY DEVICE

      
Application Number 17952322
Status Pending
Filing Date 2022-09-26
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Yi, Liang
  • Ren, Chi

Abstract

A memory device includes a semiconductor substrate, isolation structures, an erase gate, and floating gates. The isolation structures are disposed in the semiconductor substrate. Active regions separated from one another are defined in the semiconductor substrate by the isolation structures, and each of the active regions is elongated in a first direction. The erase gate is disposed on the semiconductor substrate and elongated in a second direction. The erase gate is disposed on the active regions and the isolation structures, and the erase gate is partly disposed in a recess within each of the isolation structures. The floating gates are disposed on the semiconductor substrate. The floating gates are arranged in the second direction and separated from one another, and each of the floating gates is partly disposed under the erase gate in a vertical direction.

IPC Classes  ?

  • H01L 27/11521 - Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the memory core region
  • H01L 27/11519 - Electrically programmable read-only memories; Multistep manufacturing processes therefor with floating gate characterised by the top-view layout
  • H01L 27/11539 - Simultaneous manufacturing of periphery and memory cells including only one type of peripheral transistor with an inter-gate dielectric layer also being used as part of the peripheral transistor

65.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 17953309
Status Pending
Filing Date 2022-09-26
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Hsieh, Po-Kuang
  • Tsai, Shih-Hung

Abstract

A method for fabricating a semiconductor device includes the steps of providing a first wafer and a second wafer as the first wafer includes a device wafer and the second wafer includes a blanket wafer, bonding the first wafer and the second wafer, performing a thermal treatment process to separate the second wafer into a first portion and a second portion, and then planarizing the first portion.

IPC Classes  ?

66.

STRUCTURE OF FLASH MEMORY CELL

      
Application Number 18504165
Status Pending
Filing Date 2023-11-08
First Publication Date 2024-02-29
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Chen, Chih-Jung
  • Yeh, Yu-Jen

Abstract

A structure of flash memory cell includes a substrate. A floating gate is disposed on the substrate. A low dielectric constant (low-K) spacer is disposed on a sidewall of the floating gate. A trench isolation structure has a base part disposed in the substrate and a protruding part above the substrate protruding from the base part. The low-K spacer is sandwiched between the floating gate and the protruding part of the trench isolation structure.

IPC Classes  ?

  • H01L 21/28 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 21/762 - Dielectric regions
  • H01L 29/49 - Metal-insulator semiconductor electrodes
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/788 - Field-effect transistors with field effect produced by an insulated gate with floating gate

67.

METHOD OF FORMING SEMICONDUCTOR DEVICE

      
Application Number 18505074
Status Pending
Filing Date 2023-11-08
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Lee, Kuo-Hsing
  • Lin, Chun-Hsien
  • Hsueh, Sheng-Yuan

Abstract

The present invention provides a semiconductor device and a method of forming the same, and the semiconductor device includes a substrate, a first interconnect layer and a second interconnect layer. The first interconnect layer is disposed on the substrate, and the first interconnect layer includes a first dielectric layer around a plurality of first magnetic tunneling junction (MTJ) structures. The second interconnect layer is disposed on the first interconnect layer, and the second interconnect layer includes a second dielectric layer around a plurality of second MTJ structures, wherein, the second MTJ structures and the first MTJ structures are alternately arranged along a direction. The semiconductor device may obtain a reduced size of each bit cell under a permissible process window, so as to improve the integration of components.

IPC Classes  ?

68.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FORMING THE SAME

      
Application Number 18506101
Status Pending
Filing Date 2023-11-09
First Publication Date 2024-02-29
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Yang, Po-Yu
  • Wang, Hsun-Wen

Abstract

A method for forming a high electron mobility transistor includes the steps of providing a substrate, forming a channel layer, a barrier layer, and a first passivation layer sequentially on the substrate, forming a plurality of trenches through at least a portion of the first passivation layer, forming a second passivation layer on the first passivation layer and covering along sidewalls and bottom surfaces of the trenches, and forming a conductive plate structure on the second passivation layer and filling the trenches.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT

69.

ANTI-FUSE MEMORY

      
Application Number 17966881
Status Pending
Filing Date 2022-10-16
First Publication Date 2024-02-29
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Chen, Chung-Hao
  • Hsu, Chi-Hsiu
  • Lien, Chi-Fa
  • Lin, Ying-Ting
  • Lai, Cheng-Hsiao
  • Mou, Ya-Nan

Abstract

Provided is an anti-fuse memory including a anti-fuse memory cell including an isolation structure, a select gate, first and second gate insulating layers, an anti-fuse gate, and first, second and third doped regions. The isolation structure is disposed in a substrate. The select gate is disposed on the substrate. The first gate insulating layer is disposed between the select gate and the substrate. The anti-fuse gate is disposed on the substrate and partially overlapped with the isolation structure. The second gate insulating layer is disposed between the anti-fuse gate and the substrate. The first doped region and the second doped region are disposed in the substrate at opposite sides of the select gate, respectively, wherein the first doped region is located between the select gate and the anti-fuse gate. The third doped region is disposed in the substrate and located between the first doped region and the isolation structure.

IPC Classes  ?

  • G11C 17/16 - Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM using electrically-fusible links
  • G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
  • G11C 16/24 - Bit-line control circuits

70.

HIGH DENSITY MAGNETORESISTIVE RANDOM ACCESS MEMORY DEVICE

      
Application Number 17944242
Status Pending
Filing Date 2022-09-14
First Publication Date 2024-02-22
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Hsu, Ching-Hua
  • Weng, Chen-Yi
  • Jhang, Jing-Yin
  • Hsu, Po-Kai

Abstract

The high-density MRAM device of the present invention has a second interlayer dielectric (ILD) layer covering the capping layer in the MRAM cell array area and the logic area. The thickness of the second ILD layer in the MRAM cell array area is greater than that in the logic area. The composition of the second ILD layer in the logic area is different from the composition of the second ILD layer in the MRAM cell array area.

IPC Classes  ?

  • H01L 43/12 - Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
  • H01L 43/08 - Magnetic-field-controlled resistors
  • G11C 11/16 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
  • H01L 43/02 - Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details
  • H01L 27/22 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate using similar magnetic field effects
  • H01L 43/10 - Selection of materials

71.

SEMICONDUCTOR DEVICE ON SILICON-ON-INSULATOR SUBSTRATE

      
Application Number 17950066
Status Pending
Filing Date 2022-09-21
First Publication Date 2024-02-22
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Verma, Purakh Raj
  • Xing, Su
  • Liao, Jinyu

Abstract

A semiconductor device includes a substrate having an active area, a first gate line extending along a first direction on the active area, a first gate line extension adjacent to the first gate line and outside the active area, a second gate line extending along the first direction on the active area and adjacent to the first gate line, and a second gate line extension adjacent to the second gate line and outside the active area. Preferably, the active area includes a first indentation and a second indentation, in which the first gate line extension overlaps the first indentation and the second gate line extension overlaps the first indentation.

IPC Classes  ?

  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 29/786 - Thin-film transistors
  • H01L 27/12 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body

72.

PATTERNING PROCESS

      
Application Number 17947186
Status Pending
Filing Date 2022-09-19
First Publication Date 2024-02-22
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Chang, Teng Yao
  • Tang, Chih-Hsien

Abstract

A patterning process is provided. The patterning process comprises the following steps. A material layer is formed on a substrate. An imprinting process is performed on the material layer using an imprint stamp to form a patterned material layer having a plurality of pattern portions. A hard mask layer is formed between adjacent pattern portions. An etching process is performed using the hard mask layer as an etching mask to remove the pattern portions and a part of the substrate. The hard mask layer is removed.

IPC Classes  ?

  • H01L 21/308 - Chemical or electrical treatment, e.g. electrolytic etching using masks
  • H01L 21/3105 - After-treatment
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor

73.

MANUFACTURING METHOD OF GATE STRUCTURE

      
Application Number 17949186
Status Pending
Filing Date 2022-09-20
First Publication Date 2024-02-22
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Weng, Tzu-Feng
  • Cheng, Chao-Sheng
  • Huang, Chi-Cheng

Abstract

A manufacturing method of a gate structure includes the following steps. A semiconductor substrate is provided. An isolation structure is formed in the semiconductor substrate and surrounds an active region in the semiconductor substrate. A gate pattern is formed on the active region and the isolation structure. The gate pattern includes a first gate structure and a first capping layer disposed on the first gate structure. A part of the first capping layer located above an interface between the active region and the isolation structure is removed for exposing a part of the first gate structure located above the interface between the active region and the isolation structure. A removing process is performed for reducing a thickness of the part of the first gate structure located above the interface between the active region and the isolation structure.

IPC Classes  ?

  • H01L 21/762 - Dielectric regions
  • H01L 21/28 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 29/66 - Types of semiconductor device

74.

SURFACE ACOUSTIC WAVE DEVICE

      
Application Number 18499222
Status Pending
Filing Date 2023-11-01
First Publication Date 2024-02-22
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Wang, Chen-Hsiao
  • Ho, Kai-Kuang

Abstract

A surface acoustic wave (SAW) device including a substrate is provided. Multiple surface acoustic wave elements are disposed on the substrate. A conductive surrounding structure includes: a wall part, disposed on the substrate and surrounding the surface acoustic wave elements; and a lateral layer part, disposed on the wall part. The lateral layer part has an opening above the surface acoustic wave elements. A cap layer covers the lateral layer part and closes the opening.

IPC Classes  ?

  • H03H 9/10 - Mounting in enclosures
  • H03H 3/08 - Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of resonators or networks using surface acoustic waves
  • H03H 9/25 - Constructional features of resonators using surface acoustic waves
  • H03H 9/02 - Networks comprising electromechanical or electro-acoustic elements; Electromechanical resonators - Details
  • H10N 30/02 - Forming enclosures or casings
  • H10N 30/88 - Mounts; Supports; Enclosures; Casings

75.

METHOD FOR FORMING RESISTIVE RANDOM-ACCESS MEMORY DEVICE

      
Application Number 18382055
Status Pending
Filing Date 2023-10-19
First Publication Date 2024-02-15
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Hsu, Chia-Ching
  • Xiang, Wang
  • Wang, Shen-De

Abstract

A RRAM (resistive random-access memory) device includes a bottom electrode line, a top electrode island and a resistive material. The bottom electrode line is directly on a first metal structure. The top electrode island is disposed beside the bottom electrode line. The resistive material is sandwiched by a sidewall of the bottom electrode line and a sidewall of the top electrode island. The present invention also provides a method of forming the RRAM device.

IPC Classes  ?

  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
  • H10B 63/00 - Resistance change memory devices, e.g. resistive RAM [ReRAM] devices

76.

SEMICONDUCTOR MEMORY DEVICE AND FABRICATION METHOD THEREOF

      
Application Number 18383473
Status Pending
Filing Date 2023-10-24
First Publication Date 2024-02-15
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Zhou, Yuan
  • Du, Xian Feng
  • Du, Guoan
  • Zhang, Guohai

Abstract

A semiconductor memory device includes a substrate having a first interlayer dielectric layer thereon; a lower metal interconnect layer in the first interlayer dielectric layer; a conductive via disposed on the lower metal interconnect layer; a bottom electrode disposed on the conductive via; a dielectric data storage layer having variable resistance disposed on the bottom electrode; a top electrode disposed on the dielectric data storage layer; and a protective layer covering sidewalls of the top electrode, the dielectric data storage layer, and the bottom electrode. The protective layer includes an annular, upwardly protruding portion around a perimeter of the top electrode.

IPC Classes  ?

  • H10N 70/00 - Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
  • H10N 70/20 - Multistable switching devices, e.g. memristors

77.

MAGNETIC MEMORY DEVICE AND FABRICATION METHOD THEREOF

      
Application Number 17903998
Status Pending
Filing Date 2022-09-06
First Publication Date 2024-02-15
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Wang, Hui-Lin

Abstract

A magnetic memory device includes a bottom electrode layer, a magnetic tunneling junction (MTJ) stack disposed on the bottom electrode layer, a capping layer disposed on the MTJ stack, and a top electrode layer disposed on the capping layer. The top electrode layer comprises RuO2.

IPC Classes  ?

  • H01L 43/02 - Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details
  • H01L 43/12 - Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof

78.

SEMICONDUCTOR ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME

      
Application Number 17940021
Status Pending
Filing Date 2022-09-08
First Publication Date 2024-02-15
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Li, Kun-Ju
  • Liu, Hsin-Jung
  • Wu, Zong-Sian
  • Gao, Wei-Xin
  • Chen, Jhih-Yuan
  • Chan, Ang
  • Hou, Chau-Chung
  • Chien, Hsiang-Chi
  • Lai, I-Ming

Abstract

A semiconductor assembly and a method for manufacturing the same are provided. The semiconductor assembly includes a first substrate, a first well in the first substrate and having a first doping type, a second substrate, a second well in the second substrate and having a second doping type, a first dielectric layer between the first substrate and the second substrate, and a second dielectric layer between the first substrate and the second substrate. The first doping type is different from the second doping type. The second dielectric layer is bonded to the first dielectric layer. The first well overlaps with the second well in a vertical direction.

IPC Classes  ?

  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS
  • H01L 21/8234 - MIS technology

79.

METHOD FOR FORMING ALIGNMENT KEYS OF SEMICONDUCTOR STRUCTURE AND SEMICONDUCTOR STRUCTURE HAVING ALIGNMENT KEYS

      
Application Number 17953263
Status Pending
Filing Date 2022-09-26
First Publication Date 2024-02-15
Owner United Microelectronics Corporation (Taiwan, Province of China)
Inventor
  • Yang, Tsung-Yu
  • Li, Shin-Hung
  • Huang, Shan-Shi
  • Tsao, Ruei Jhe
  • Chang, Che-Hua
  • Chung, Yuan Yu

Abstract

A method for forming alignment keys of a semiconductor structure includes: forming an oxide pad layer and a passivation layer on a substrate; forming a patterned photoresist layer on the passivation layer, and using the patterned photoresist layer as a mask to remove part of the oxide pad layer and passivation layer and expose the substrate surface in the medium voltage and alignment mark regions; forming oxide portions on the exposed substrate surface, and the oxide portions extending into the first depth of the substrate; forming deep doped wells in the low voltage and medium voltage regions; thinning the oxide portions; forming high-voltage doped wells in the high voltage and alignment mark regions; performing an etching process on the high voltage and alignment mark regions to form a second trench, as an alignment key, having a second depth greater than the first depth in the alignment mark region.

IPC Classes  ?

  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns
  • H01L 21/266 - Bombardment with wave or particle radiation with high-energy radiation producing ion implantation using masks
  • H01L 21/311 - Etching the insulating layers

80.

RRAM STRUCTURE AND METHOD OF FABRICATING THE SAME

      
Application Number 17938926
Status Pending
Filing Date 2022-09-06
First Publication Date 2024-02-15
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Chang, Kai-Jiun
  • Cheng, Chun-Hung
  • Wang, Chuan-Fu

Abstract

An RRAM includes a bottom electrode, a resistive switching layer and a top electrode. The bottom electrode includes an inverted T-shaped profile. The resistive switching layer covers the bottom electrode. The top electrode covers the resistive switching layer. The inverted T-shaped profile includes a bottom element and a vertical element. The vertical element is disposed on the bottom element. The shape of the vertical element includes a rectangle or a trapezoid.

IPC Classes  ?

  • H01L 45/00 - Solid state devices specially adapted for rectifying, amplifying, oscillating, or switching without a potential-jump barrier or surface barrier, e.g. dielectric triodes; Ovshinsky-effect devices; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof
  • H01L 27/24 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including solid state components for rectifying, amplifying, or switching without a potential-jump barrier or surface barrier
  • G11C 13/00 - Digital stores characterised by the use of storage elements not covered by groups , , or

81.

LATERAL DIFFUSED METAL OXIDE SEMICONDUCTOR DEVICE

      
Application Number 18383461
Status Pending
Filing Date 2023-10-24
First Publication Date 2024-02-15
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Lin, Zong-Han

Abstract

A lateral diffused metal oxide semiconductor (LDMOS) device includes a first fin-shaped structure on a substrate, a second fin-shaped structure adjacent to the first fin-shaped structure, a shallow trench isolation (STI) between the first fin-shaped structure and the second fin-shaped structure, a first gate structure on the first fin-shaped structure, a second gate structure on the second fin-shaped structure, and an air gap between the first gate structure and the second gate structure.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 29/417 - Electrodes characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
  • H01L 29/06 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions

82.

IMAGE SENSOR

      
Application Number 18380649
Status Pending
Filing Date 2023-10-16
First Publication Date 2024-02-08
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Hsieh, Cheng-Yu

Abstract

An image sensor includes a semiconductor substrate having a first surface and a second surface opposite to the first surface in a vertical direction, a first isolation structure disposed in the semiconductor substrate for defining pixel regions, a visible light detection structure, an infrared light detection structure, and a reflective layer. The visible light detection structure and the infrared light detection structure are disposed within the same pixel region. The visible light detection structure includes a first portion disposed between the second surface and the infrared light detection structure in the vertical direction and a second portion disposed between the infrared light detection structure and the first isolation structure in a horizontal direction. The infrared light detection structure is disposed between the reflective layer and the first portion in the vertical direction. The second portion is not sandwiched between the reflective layer and the second surface in the vertical direction.

IPC Classes  ?

83.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 17899604
Status Pending
Filing Date 2022-08-30
First Publication Date 2024-02-08
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Lee, Zhi-Cheng
  • Chiang, Huai-Tzu
  • Hsieh, Chuang-Han
  • Lee, Kai-Lin

Abstract

A manufacturing method of a semiconductor device includes the following steps. A III-V compound barrier layer is formed on a III-V compound semiconductor layer. A protection layer is formed on the III-V compound barrier layer. An opening is formed penetrating through the protection layer in a vertical direction and exposing a part of the III-V compound barrier layer. A p-type doped III-V compound material is formed in the opening. A patterned barrier layer is formed on the p-type doped III-V compound material. A contact area between the patterned barrier layer and the p-type doped III-V compound material is less than an area of a top surface of the p-type doped III-V compound material.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
  • H01L 23/31 - Encapsulation, e.g. encapsulating layers, coatings characterised by the arrangement

84.

SEMICONDUCTOR DEVICE INCLUDING MAGNETIC TUNNEL JUNCTION STRUCTURE

      
Application Number 18381627
Status Pending
Filing Date 2023-10-18
First Publication Date 2024-02-08
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Kuo, Chih-Wei
  • Hsu, Chia-Chang

Abstract

A semiconductor device includes a substrate, a first MTJ structure, a second MTJ structure, an interconnection structure including a first metal interconnection and a second metal interconnection disposed on and contacting the first metal interconnection, a fifth metal interconnection, and a sixth metal interconnection. The first MTJ structure, the second MTJ structure, and the interconnection structure are disposed on the substrate. The interconnection structure is located between the first MTJ structure and the second MTJ structure in a first horizontal direction. The fifth metal interconnection and the sixth metal interconnection are disposed under and contact the first MTJ structure and the second MTJ structure, respectively. The fifth metal interconnection includes a barrier layer and a metal layer disposed on the barrier layer. A length of the first MTJ structure in the first horizontal direction is greater than a length of the metal layer in the first horizontal direction.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/01 - Manufacture or treatment

85.

METHOD OF FORMING PROTECTIVE LAYER UTILIZED IN SILICON REMOVE PROCESS

      
Application Number 17880685
Status Pending
Filing Date 2022-08-04
First Publication Date 2024-02-08
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Liao, Chia-Liang
  • Ng, Chee Hau
  • Wen, Ching-Yang
  • Verma, Purakh Raj

Abstract

A method of forming a protective layer utilized in a silicon remove process includes bonding a first wafer to a second wafer, wherein the first wafer comprises a first silicon substrate with a first device structure disposed thereon and the second wafer comprises a second silicon substrate with a second device structure disposed thereon. After that, a first trim process is performed to thin laterally an edge of the first wafer and an edge of the second device structure. After the first trim process, a protective layer is formed to cover a back side of the second silicon substrate. After forming the protective layer, a silicon remove process is performed to remove only the first silicon substrate.

IPC Classes  ?

  • H01L 21/762 - Dielectric regions
  • H01L 21/304 - Mechanical treatment, e.g. grinding, polishing, cutting
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device

86.

CONTROL METHOD OF MULTI-STAGE ETCHING PROCESS AND PROCESSING DEVICE USING THE SAME

      
Application Number 17903417
Status Pending
Filing Date 2022-09-06
First Publication Date 2024-02-08
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wei, Liang Ju
  • Chiu, Chung-Yi
  • Wu, Zhen
  • Chen, Hsuan-Hsu
  • Chen, Chun-Lung

Abstract

A control method of a multi-stage etching process and a processing device using the same are provided. The control method of the multi-stage etching process includes the following step S. A stack information of a plurality of hard mask layers is set. An etching target condition is set. Through a machine learning model, a parameter setting recipe of the hard mask layers is generated under the etching target condition. The machine learning model is trained based on the stack information of the hard mask layers, a plurality of process parameters and a process result.

IPC Classes  ?

  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
  • H01J 37/32 - Gas-filled discharge tubes

87.

SEMICONDUCTOR STRUCTURE

      
Application Number 17889389
Status Pending
Filing Date 2022-08-16
First Publication Date 2024-02-01
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Tsai, Ming-Hua
  • Yan, Hao Ping
  • Kuo, Chin-Chia
  • Chang, Wei Hsuan

Abstract

A semiconductor structure including a substrate and protection structures is provided. The substrate includes a die region. The die region includes corner regions. The protection structures are located in the corner region. Each of the protection structures has a square top-view pattern. The square top-view patterns located in the same corner region have various sizes.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns
  • H01L 23/58 - Structural electrical arrangements for semiconductor devices not otherwise provided for

88.

GALLIUM NITRIDE DEVICE AND METHOD FOR MANUFACTURING HIGH ELECTRON MOBILITY TRANSISTOR

      
Application Number 17892098
Status Pending
Filing Date 2022-08-21
First Publication Date 2024-02-01
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Yeh, Chih Tung
  • Hou, Chun-Liang

Abstract

A gallium nitride device and a method for manufacturing a high electron mobility transistor are provided. The gallium nitride device includes a substrate, a channel layer disposed on the substrate, a barrier layer disposed on the channel layer, a cap layer disposed on the barrier layer, a gate disposed on the cap layer, a source, a drain, and ohmic sidewall dams. The source and the drain are formed in the cap layer and the barrier layer. Each of the source and the drain has a trench portion, and a contact below the trench portion and protruding into the channel layer. The ohmic sidewall dams are disposed on a sidewall of the trench portion of each of the source and the drain.

IPC Classes  ?

  • H01L 29/20 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
  • H01L 21/285 - Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation

89.

SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF

      
Application Number 17892116
Status Pending
Filing Date 2022-08-21
First Publication Date 2024-02-01
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Verma, Purakh Raj
  • Wen, Ching-Yang
  • Ng, Chee-Hau
  • Ho, Chin-Wei

Abstract

A semiconductor device includes a substrate, a high-Q capacitor, an ultra high density capacitor, and an interconnection. At least one trench is formed in the substrate. The high-Q capacitor is disposed on a surface of the substrate, and includes a bottom electrode, an upper electrode located on the bottom electrode, and a first dielectric layer located between the upper and bottom electrodes. The ultra high density capacitor is disposed on the trench of the substrate, and includes a first electrode conformally deposited in the trench, a second electrode located on the first electrode, and a second dielectric layer located between the first and second electrodes. The interconnection connects one of the upper electrode and the bottom electrode to one of the first electrode and the second electrode, and connects the other of the upper electrode and the bottom electrode to the other of the first electrode and the second electrode.

IPC Classes  ?

90.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Application Number 17896096
Status Pending
Filing Date 2022-08-26
First Publication Date 2024-02-01
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Kuo, Chun-Liang
  • Chen, Yen-Hsing
  • Chen, Yen-Lun
  • Shen, Ruei-Hong
  • Yang, Tsung-Mu
  • Wang, Yu-Ren

Abstract

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a buffer layer on a substrate, forming a barrier layer on the buffer layer, forming a p-type semiconductor layer on the barrier layer, forming a gate electrode on the p-type semiconductor layer, and then forming a source electrode and a drain electrode adjacent to two sides of the gate electrode. Preferably, the buffer layer further includes a bottom portion having a first carbon concentration and a top portion having a second carbon concentration, in which the second carbon concentration is less than the first carbon concentration and a thickness of the bottom portion is less than a thickness of the top portion.

IPC Classes  ?

  • H01L 29/10 - Semiconductor bodies characterised by the shapes, relative sizes, or dispositions of the semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified, or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
  • H01L 29/66 - Types of semiconductor device

91.

SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF

      
Application Number 18482002
Status Pending
Filing Date 2023-10-05
First Publication Date 2024-02-01
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Verma, Purakh Raj
  • Xing, Su

Abstract

A semiconductor structure including chips is provided. The chips are arranged in a stack. Each of the chips includes a radio frequency (RF) device. Two adjacent chips are bonded to each other. The RF devices in the chips are connected in parallel. Each of the RF devices includes a gate, a source region, and a drain region. The gates in the RF devices connected in parallel have the same shape and the same size. The source regions in the RF devices connected in parallel have the same shape and the same size. The drain regions in the RF devices connected in parallel have the same shape and the same size.

IPC Classes  ?

  • H01L 23/66 - High-frequency adaptations
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

92.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FABRICATING THE SAME

      
Application Number 17896106
Status Pending
Filing Date 2022-08-26
First Publication Date 2024-02-01
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Yeh, Chih-Tung
  • Liao, Wen-Jung

Abstract

A method for fabricating a high electron mobility transistor (HEMT) includes the steps of forming a buffer layer on a substrate, forming a barrier layer on the buffer layer, forming a p-type semiconductor layer on the barrier layer, forming a hole injection buffer layer (HIBL) on the p-type semiconductor layer, and forming a gate electrode on the HIBL.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/20 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof

93.

MAGNETORESISTIVE RANDOM ACCESS MEMORY AND METHOD OF MANUFACTURING THE SAME

      
Application Number 18373295
Status Pending
Filing Date 2023-09-27
First Publication Date 2024-01-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Hsieh, Chin-Yang
  • Lee, Yi-Hui
  • Liu, Ying-Cheng
  • Shih, Yi-An
  • Jhang, Jing-Yin
  • Tseng, I-Ming
  • Wang, Yu-Ping
  • Lin, Chien-Ting
  • Ho, Kun-Chen
  • Chou, Yi-Syun
  • Li, Chang-Min
  • Tseng, Yi-Wei
  • Lai, Yu-Tsung
  • Xie, Jun

Abstract

A method of fabricating magnetoresistive random access memory, including providing a substrate, forming a bottom electrode layer, a magnetic tunnel junction stack, a top electrode layer and a hard mask layer sequentially on the substrate, wherein a material of the top electrode layer is titanium nitride, a material of the hard mask layer is tantalum or tantalum nitride, and a percentage of nitrogen in the titanium nitride gradually decreases from a top surface of top electrode layer to a bottom surface of top electrode layer, and patterning the bottom electrode layer, the magnetic tunnel junction stack, the top electrode layer and the hard mask layer into multiple magnetoresistive random access memory cells.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/01 - Manufacture or treatment

94.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18376437
Status Pending
Filing Date 2023-10-03
First Publication Date 2024-01-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Hsu, Chia-Chang
  • Weng, Chen-Yi
  • Hsieh, Chin-Yang
  • Jhang, Jing-Yin

Abstract

A semiconductor device includes a substrate comprising a MTJ region and a logic region, a magnetic tunneling junction (MTJ) on the MTJ region, and a contact plug on the logic region. Preferably, the MTJ includes a bottom electrode layer having a gradient concentration, a free layer on the bottom electrode layer, and a top electrode layer on the free layer.

IPC Classes  ?

  • H10N 50/80 - Constructional details
  • H01F 41/34 - Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film in patterns, e.g. by lithography
  • H01F 10/32 - Spin-exchange-coupled multilayers, e.g. nanostructured superlattices
  • G11C 11/16 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/01 - Manufacture or treatment

95.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18376451
Status Pending
Filing Date 2023-10-04
First Publication Date 2024-01-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Chang, Che-Wei
  • Tsai, Si-Han
  • Hsu, Ching-Hua
  • Jhang, Jing-Yin
  • Wang, Yu-Ping

Abstract

A method for fabricating semiconductor device includes the steps of first forming a magnetic tunneling junction (MTJ) stack on a substrate, in which the MTJ stack includes a pinned layer on the substrate, a barrier layer on the pinned layer, and a free layer on the barrier layer. Next, a top electrode is formed on the MTJ stack, the top electrode, the free layer, and the barrier layer are removed, a first cap layer is formed on the top electrode, the free layer, and the barrier layer, and the first cap layer and the pinned layer are removed to form a MTJ and a spacer adjacent to the MTJ.

IPC Classes  ?

  • G01R 33/09 - Magneto-resistive devices
  • G11C 11/02 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/01 - Manufacture or treatment
  • H10N 50/10 - Magnetoresistive devices
  • H10N 50/80 - Constructional details
  • H10N 50/85 - Magnetic active materials

96.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18376820
Status Pending
Filing Date 2023-10-04
First Publication Date 2024-01-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Lin, Da-Jun
  • Hou, Tai-Cheng
  • Tsai, Bin-Siang
  • Chien, Ting-An

Abstract

A semiconductor device includes a magnetic tunneling junction (MTJ) on a substrate, a top electrode on the MTJ, a trapping layer in the top electrode for trapping hydrogen, a first inter-metal dielectric (IMD) layer on the MTJ, and a first metal interconnection in the first IMD layer and on the top electrode. Preferably, a top surface of the trapping layer is lower than a bottom surface of the first IMD layer.

IPC Classes  ?

  • H10N 50/01 - Manufacture or treatment
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/80 - Constructional details

97.

MANUFACTURING METHOD OF MEMORY DEVICE

      
Application Number 18376840
Status Pending
Filing Date 2023-10-05
First Publication Date 2024-01-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Kuo, Chih-Wei
  • Chiu, Chung-Yi

Abstract

A manufacturing method of a memory device includes following steps. Memory units are formed on a substrate. Each memory unit includes a first electrode, a second electrode disposed above the first electrode in a vertical direction, and a memory material layer disposed between the first electrode and the second electrode. A conformal spacer layer is formed on the memory units. A non-conformal spacer layer is formed on the conformal spacer layer. A first opening is formed penetrating through a first portion of the non-conformal spacer layer between the memory units in a horizontal direction and a first portion of the conformal spacer layer on the first portion of the conformal spacer layer in the vertical direction. A thickness of a second portion of the non-conformal spacer layer on the second electrode is greater than a thickness of the second portion of the non-conformal spacer layer on the memory material layer.

IPC Classes  ?

  • H10N 50/01 - Manufacture or treatment
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/80 - Constructional details

98.

SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME

      
Application Number 18376843
Status Pending
Filing Date 2023-10-05
First Publication Date 2024-01-25
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor
  • Wang, Hui-Lin
  • Weng, Chen-Yi
  • Chang, Che-Wei
  • Tsai, Si-Han
  • Hsu, Ching-Hua
  • Jhang, Jing-Yin
  • Wang, Yu-Ping

Abstract

A method for fabricating semiconductor device includes the steps of first forming a magnetic tunneling junction (MTJ) stack on a substrate, in which the MTJ stack includes a pinned layer on the substrate, a barrier layer on the pinned layer, and a free layer on the barrier layer. Next, a top electrode is formed on the MTJ stack, the top electrode, the free layer, and the barrier layer are removed, a first cap layer is formed on the top electrode, the free layer, and the barrier layer, and the first cap layer and the pinned layer are removed to form a MTJ and a spacer adjacent to the MTJ.

IPC Classes  ?

  • G01R 33/09 - Magneto-resistive devices
  • G11C 11/02 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
  • H10B 61/00 - Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
  • H10N 50/01 - Manufacture or treatment
  • H10N 50/10 - Magnetoresistive devices
  • H10N 50/80 - Constructional details
  • H10N 50/85 - Magnetic active materials

99.

MAGNETORESISTIVE RANDOM ACCESS MEMORY (MRAM) DEVICE AND METHOD OF FORMING THE SAME

      
Application Number 17892162
Status Pending
Filing Date 2022-08-22
First Publication Date 2024-01-25
Owner United Microelectronics Corp. (Taiwan, Province of China)
Inventor
  • Kuo, Chih-Wei
  • Lin, Hung-Chan
  • Chiu, Chung Yi

Abstract

Provided is a magnetoresistive random access memory (MRAM) device including a bottom electrode, a magnetic tunnel junction (MTJ) structure, a first spin orbit torque (SOT) layer, a cap layer, a second SOT layer, an etch stop layer, and an upper metal line layer. The MTJ structure is disposed on the bottom electrode. The first SOT layer is disposed on the MTJ structure. The cap layer is disposed on the first SOT layer. The second SOT layer is disposed on the cap layer. The etch stop layer is disposed on the second SOT layer. The upper metal line layer penetrates though the etch stop layer and is landed on the second SOT layer.

IPC Classes  ?

  • H01L 43/04 - Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof - Details of Hall-effect devices
  • H01L 27/22 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate using similar magnetic field effects
  • H01L 43/06 - Hall-effect devices
  • H01L 43/10 - Selection of materials
  • H01L 43/14 - Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof for Hall-effect devices

100.

HIGH ELECTRON MOBILITY TRANSISTOR AND METHOD FOR FORMING THE SAME

      
Application Number 18373291
Status Pending
Filing Date 2023-09-27
First Publication Date 2024-01-18
Owner UNITED MICROELECTRONICS CORP. (Taiwan, Province of China)
Inventor Yang, Po-Yu

Abstract

A method for forming a high electron mobility transistor includes the steps of providing a substrate, sequentially forming a buffer layer, a channel layer, a barrier layer, and a semiconductor gate layer on the substrate, forming a metal gate layer on the semiconductor gate layer, forming an insulating layer on the barrier layer, the semiconductor gate layer, and the metal gate layer and a passivation layer on the insulating layer, forming an opening through the passivation layer and the insulating layer to expose the metal gate layer, and forming a gate electrode on the passivation layer and filling the opening.

IPC Classes  ?

  • H01L 29/66 - Types of semiconductor device
  • H01L 29/778 - Field-effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT
  • H01L 29/20 - Semiconductor bodies characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
  1     2     3     ...     40        Next Page