ASM IP Holding B.V.

Pays‑Bas

Retour au propriétaire

1-100 de 1 605 pour ASM IP Holding B.V. Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Affiner par Reset Report
Date
Nouveautés (dernières 4 semaines) 23
2024 avril (MACJ) 19
2024 mars 17
2024 février 18
2024 janvier 21
Voir plus
Classe IPC
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 682
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 556
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 246
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 233
C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement 179
Voir plus
Statut
En Instance 575
Enregistré / En vigueur 1 030
Résultats pour  brevets
  1     2     3     ...     17        Prochaine page

1.

METHODS FOR FILLING A RECESSED FEATURE ON A SUBSTRATE AND RELATED STRUCTURES

      
Numéro d'application 18381232
Statut En instance
Date de dépôt 2023-10-17
Date de la première publication 2024-04-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Byun, Youngchol
  • Saare, Holger
  • Luiso, Salvatore
  • Lee, Jaebeom

Abrégé

Methods for filling a recessed feature on a substrate are disclosure. The methods may include, providing a substrate with a recessed feature including a metal liner layer, partially etching the metal liner, and subsequently filing the recessed feature with a gap-fill material employing a combination of etch and cyclical deposition processes. Semiconductor structures including a gap fill metal film disposed in a recessed featured are also disclosed.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif

2.

MEMORY DEVICES, COMPONENTS THEREOF, AND RELATED METHODS AND SYSTEMS

      
Numéro d'application 18491779
Statut En instance
Date de dépôt 2023-10-21
Date de la première publication 2024-04-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Leonhardt, Alessandra
  • Givens, Michael Eugene
  • Verni, Giuseppe Alessio
  • Xie, Qi

Abrégé

Methods of processing a substrate and related structures and systems. Described methods comprise forming a distal dipole layer on to a distal material layer; forming a high-k dielectric on the distal dipole layer; and, forming a proximal dipole layer on the high-k dielectric.

Classes IPC  ?

  • H10B 12/10 - Dispositifs DRAM comprenant des composants bipolaires

3.

ALD DEPOSITION METHOD AND SYSTEM

      
Numéro d'application 18491546
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2024-04-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Pierreux, Dieter
  • Oosterlaken, Theodorus G.M.

Abrégé

A method and system for depositing a material on one or more substrates by atomic layer deposition. The method comprising a step of performing a pulse (1) of a precursor of said material, wherein at least one of the average flow rate (f) and the average partial pressure (r) of said precursor over a first half (2) of the pulse (1) is higher than over a second half (3) of the pulse (1).

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

4.

TRANSITION METAL DEPOSITION METHOD

      
Numéro d'application 18541166
Statut En instance
Date de dépôt 2023-12-15
Date de la première publication 2024-04-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Dezelah, Charles
  • Maes, Jan Willem
  • Färm, Elina
  • Ali, Saima
  • Niskanen, Antti

Abrégé

The current disclosure relates to methods of depositing transition metal on a substrate. The disclosure further relates to a transition metal layer, to a structure and to a device comprising a transition metal layer. In the method, transition metal is deposited on a substrate by a cyclical deposition process, and the method comprises providing a substrate in a reaction chamber, providing a transition metal precursor to the reaction chamber in a vapor phase and providing a reactant to the reaction chamber in a vapor phase to form transition metal on the substrate. The transition metal precursor comprises a transition metal from any of groups 4 to 6, and the reactant comprises a group 14 element selected from Si, Ge or Sn.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C23C 16/54 - Appareillage spécialement adapté pour le revêtement en continu

5.

SUBSTRATE RETAINING APPARATUS, SYSTEM INCLUDING THE APPARATUS, AND METHOD OF USING SAME

      
Numéro d'application 18402969
Statut En instance
Date de dépôt 2024-01-03
Date de la première publication 2024-04-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Rajavelu Muralidhar, Shiva K.T.
  • Kim, Sam
  • Robinson, Jeffrey Barrett
  • Wilson, Jr., James King
  • Sonje, Ninad Vijay

Abrégé

A substrate retaining apparatus, a load lock assembly comprising the substrate retaining apparatus, and a system including the substrate retaining apparatus are disclosed. The substrate retaining apparatus can include at least one sidewall and one or more heat shields. One or more of the at least one sidewall can include a cooling fluid conduit to facilitate cooling of substrates retained by the substrate retaining apparatus. Additionally or alternatively, one or more of the at least one sidewall can include a gas conduit to provide gas to a surface of a retained substrate.

Classes IPC  ?

  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

6.

REACTANT DELIVERY SYSTEM AND REACTOR SYSTEM INCLUDING SAME

      
Numéro d'application 18403024
Statut En instance
Date de dépôt 2024-01-03
Date de la première publication 2024-04-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Wrench, Jacqueline
  • Zhang, Shuaidi
  • Vashi, Arjav Prafulkumar
  • Garg, Shubham
  • Dunn, Todd Robert
  • Mousa, Moataz Bellah
  • Bakke, Jonathan
  • Mohamed, Ibrahim
  • Ma, Paul
  • Wang, Bo
  • Shero, Eric
  • Winkler, Jereld Lee

Abrégé

Herein disclosed are systems and methods related to delivery systems using solid source chemical fill vessels. The delivery system can include a vapor deposition reactor, two or more fill vessels, of which one of more can be remote from the vapor deposition reactor. Each fill vessel is configured to hold solid source chemical reactant therein. An interconnect line or conduit can fluidly connect the vapor deposition reactor with one or more of the fill vessels. A line heater can heat at least a portion of the interconnect line to at least a minimum line temperature.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

7.

Electrode for substrate processing apparatus

      
Numéro d'application 29782976
Numéro de brevet D1023959
Statut Délivré - en vigueur
Date de dépôt 2021-05-11
Date de la première publication 2024-04-23
Date d'octroi 2024-04-23
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Lee, Jeongho
  • Kim, Jaehyun
  • Shin, Jinho
  • Jang, Hyunsoo

8.

METHOD FOR FABRICATING LAYER STRUCTURE HAVING TARGET TOPOLOGICAL PROFILE

      
Numéro d'application 18530759
Statut En instance
Date de dépôt 2023-12-06
Date de la première publication 2024-04-18
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Shiba, Eiichiro
  • Ota, Yoshinori
  • Vervuurt, René Henricus Jozef
  • Kobayashi, Nobuyoshi
  • Kobayashi, Akiko

Abrégé

A method for fabricating a layer structure having a target topology profile in a step which has a side face and a lateral face, includes processes of: (a) depositing a dielectric layer on a preselected area of the substrate under first deposition conditions, wherein the dielectric layer has a portion whose resistance to fluorine and/or chlorine radicals under first dry-etching conditions is tuned; and (b) exposing the dielectric layer obtained in process (a) to the fluorine and/or chlorine radicals under the first dry-etching conditions, thereby removing at least a part of the portion of the dielectric layer, thereby forming a layer structure having the target topology profile on the substrate.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

9.

GAS INLET TUBE ASSEMBLY FOR AN IMPROVED GAS MIXTURE IN A SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18377885
Statut En instance
Date de dépôt 2023-10-09
Date de la première publication 2024-04-18
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Thottappayil, Arun
  • Jung, Dongrak
  • Kim, Jongsu

Abrégé

A gas inlet tube assembly is presented. The assembly comprising a first inlet configured to insert a first process gas and a second inlet configured to insert a second process gas; a gas inlet tube configured to mix the first process gas from the first inlet and the second process gas from the second inlet, the gas inlet tube being in fluid communication with a reaction chamber of the substrate processing apparatus, comprising upper and lower segments, wherein the first inlet and the second inlet are placed in the upper segment of the gas inlet tube and face with each other directly opposite, the upper segment is converging cone shape, the lower segment is diverging cone shape, the ratio of the length of the upper segment bottom to the length of the lower segment bottom can be 0.1˜0.9, preferably 0.15˜0.25.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

10.

VAPOR DELIVERY APPARATUS, ASSOCIATED VAPOR PHASE REACTOR AND METHODS OF USE

      
Numéro d'application 18378222
Statut En instance
Date de dépôt 2023-10-10
Date de la première publication 2024-04-18
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Rodriguez, Leonard

Abrégé

Vapor delivery apparatus configured for generating a gaseous precursor from solid source precursor particles in a fluidized bed are disclosed. In addition, vapor phase reactors including a vapor delivery apparatus including a fluidized bed of solid precursor are also disclosed. Methods for monitoring and a controlling a vapor delivery system including a fluidized bed also disclosed.

Classes IPC  ?

  • B01J 8/18 - Procédés chimiques ou physiques en général, conduits en présence de fluides et de particules solides; Appareillage pour de tels procédés les particules étant fluidisées
  • B01J 8/24 - Procédés chimiques ou physiques en général, conduits en présence de fluides et de particules solides; Appareillage pour de tels procédés les particules étant fluidisées selon la technique du "lit fluidisé"

11.

LIQUID-SOURCE PRECURSOR DELIVERY SYSTEM APPARATUS AND METHOD OF USING SAME

      
Numéro d'application 18376524
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-04-11
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Aida, Koei

Abrégé

Liquid delivery system apparatus and reactor systems including such apparatus are disclosed. Exemplary liquid delivery system apparatus can be used to provide desired control of a flowrate of a liquid-source precursor, while mitigating fluctuations in flowrate that might otherwise occur and while allowing for a relatively low number of fluid lines.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs

12.

WAFER PROCESSING APPARATUS

      
Numéro d'application 18474953
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-11
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Umeoka, Yoshiyuki

Abrégé

A wafer processing apparatus may be presented. The apparatus comprising a first loadlock and a second loadlock, at least one extra chambers for preprocessing or postprocessing the wafers, at least one reaction chambers configured to process the wafers, a first wafer handling chamber comprising a first robot, the first robot configured to move wafers between the first and second loadlocks and the extra chambers, a second wafer handling chamber comprising a second robot, the second robot configured to move wafers between the reaction chambers and a pass-through chamber, a pass-through chamber configured to stack wafers from both the first wafer handling chamber and the second wafer handling chamber; and a scheduling unit configured to schedule movements of the plurality of wafers by the first robot and the second robot.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

13.

Gapfill methods and processing assemblies

      
Numéro d'application 18367480
Statut En instance
Date de dépôt 2023-09-13
Date de la première publication 2024-04-11
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Tynell, Tommi
  • Pore, Viljami

Abrégé

The disclosure relates to methods of filling gaps in semiconductor substrates. A method of filling a gap is disclosed. The method including providing a substrate having a gap in a reaction chamber, providing a first precursor including silicon and carbon into the reaction chamber in a vapor phase, wherein the first precursor includes at least one unsaturated carbon-carbon bond and at least one atom selected from oxygen and nitrogen. The method further includes providing a first plasma into the reaction chamber to polymerize the first precursor for forming a gap filling material, thereby at least partially filling the gap with the gap filling material. In some embodiments, the at least one unsaturated bond is a double bond.

Classes IPC  ?

  • C23C 16/513 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des jets de plasma
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/515 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges pulsées

14.

SYSTEMS AND METHODS FOR MONITORING A CONDITION OF LAMPS USED IN SEMICONDUCTOR FABRICATION PROCESSING

      
Numéro d'application 18476009
Statut En instance
Date de dépôt 2023-09-27
Date de la première publication 2024-04-04
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Ruckle, Peter Bryan
  • Huang, Shujin
  • Su, Junwei
  • Lim, Daw Gen

Abrégé

Methods and systems for monitoring a heat lamp system are disclosed. An exemplary method includes installing a new heat lamp within a reactor system, measuring an initial resistance value of the heat lamp, recording, by a controller, the initial resistance value of the heat lamp, determining a subsequent resistance value of the heat lamp, comparing the subsequent resistance value to the initial resistance value, determining whether the subsequent resistance value deviates from the initial resistance value by a programmed threshold value or more and/or falls below a programmed threshold voltage, and providing a user output to a user interface if the subsequent resistance value deviates from the initial resistance value by the programmed threshold value and/or falls below the programmed threshold voltage. An exemplary system can perform the method of monitoring the heat lamp system.

Classes IPC  ?

  • C23C 16/48 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement par irradiation, p.ex. par photolyse, radiolyse ou rayonnement corpusculaire
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

15.

SYSTEM AND APPARATUS FOR A VALVE ASSEMBLY

      
Numéro d'application 18539754
Statut En instance
Date de dépôt 2023-12-14
Date de la première publication 2024-04-04
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Yednak, Iii, Andrew Michael

Abrégé

A valve assembly may provide a body comprising a bottom portion and a top portion having a threaded region, a closing mechanism situated above the top portion of the body, an actuator in communication with the closing mechanism, a nut configured to attach to the threaded region, and a threaded hole extending into at least one of the bottom portion of the body or the nut.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • F16K 3/24 - Robinets-vannes ou tiroirs, c. à d. dispositifs obturateurs dont l'élément de fermeture glisse le long d'un siège pour l'ouverture ou la fermeture à faces d'obturation en forme de surfaces de solides de révolution avec corps de tiroir cylindrique
  • F16K 27/12 - Couvercles de logements
  • F16L 55/132 - Moyens pour arrêter l'écoulement dans les tuyaux ou manches en introduisant dans le tuyau un élément expansible in situ introduits axialement dans le tuyau ou la manche l'obturateur étant un bouchon fixé par déformation radiale du joint d'étanchéité

16.

CHAMBER ARRANGEMENTS WITH LASER SOURCES, SEMICONDUCTOR PROCESSING SYSTEMS, AND MATERIAL LAYER DEPOSITION METHODS

      
Numéro d'application 18476117
Statut En instance
Date de dépôt 2023-09-27
Date de la première publication 2024-04-04
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Gao, Fan
  • Gao, Peipei
  • Wang, Wentao
  • Zhou, Kai
  • Patil, Kishor
  • Ye, Han
  • Lin, Xing
  • Demos, Alexandros

Abrégé

A chamber arrangement includes a chamber body, a substrate support, and a laser source. The substrate support is arranged within the chamber body and supported for rotation about a rotation axis relative to the chamber body. The laser source is arranged outside of the chamber body and optically coupled to the substrate support along a lasing axis. The lasing axis intersects the substrate support at a location radially outward from an outer periphery of a substrate seated on the substrate support. A semiconductor processing system and a material layer deposition method are also described.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • B23K 26/03 - Observation, p.ex. surveillance de la pièce à travailler
  • B23K 26/06 - Mise en forme du faisceau laser, p.ex. à l’aide de masques ou de foyers multiples
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/48 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement par irradiation, p.ex. par photolyse, radiolyse ou rayonnement corpusculaire
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • G05D 23/19 - Commande de la température caractérisée par l'utilisation de moyens électriques

17.

TRANSITION METAL NITRIDE DEPOSITION METHOD

      
Numéro d'application 18530653
Statut En instance
Date de dépôt 2023-12-06
Date de la première publication 2024-04-04
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Färm, Elina
  • Maes, Jan Willem
  • Dezelah, Charles
  • Iwashita, Shinya

Abrégé

The present disclosure relates to methods and apparatuses for depositing a transition metal nitride-containing material on a substrate in the field of manufacturing semiconductor devices. Methods according to the current disclosure comprise a cyclic deposition process, in which a substrate is provided in a reaction chamber, an organometallic transition metal precursor is provided to the reaction chamber in a vapor phase, and a nitrogen precursor is provided into the reaction chamber in a vapor phase to form a transition metal nitride on the substrate. The disclosure further relates to a transition metal nitride layer, to a semiconductor structure and a device, as well as to a deposition assembly for depositing a transition metal nitride on a substrate.

Classes IPC  ?

  • C23C 16/34 - Nitrures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

18.

LOADLOCK ASSEMBLY INCLUDING CHILLER UNIT

      
Numéro d'application 18475002
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-04-04
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Yoshida, Hideki

Abrégé

A loadlock assembly is disclosed. Exemplary loadlock assembly includes a loadlock chamber provided with a plurality of sidewalls, a top portion, a bottom portion, and a plurality of openings through which a substrate is configured to be passed into the loadlock chamber; wherein the loadlock chamber is provided with a plurality of cooling gas intake ports; a substrate support disposed in the loadlock chamber and configured to support the substrate at or near an edge of the substrate; and a chiller unit provided with a plurality of cooling gas nozzles coupled to the cooling gas intake ports and configured to provide a cooling gas that passes through the plurality of cooling gas nozzles to the loadlock chamber.

Classes IPC  ?

  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 14/50 - Porte-substrat

19.

LIFT PIN ACTUATORS FOR SEMICONDUCTOR PROCESSING SYSTEMS AND RELATED METHODS

      
Numéro d'application 18476067
Statut En instance
Date de dépôt 2023-09-27
Date de la première publication 2024-04-04
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Evans, Bradley Wayne
  • Huang, Shujin
  • Su, Junwei
  • Tran, Loc
  • Lin, Xing
  • Demos, Alexandros

Abrégé

A lift pin actuator includes a castellated annulus, a first arm, a second arm, and a pin pad. The annulus arranged along a rotation axis and has a first merlon and a second merlon circumferentially separated by a crenel. The first arm is connected to the first merlon and extends outward from the annulus, the second arm is connected to the second merlon and extends outward from the annulus, and the second arm is circumferentially spaced from the first arm by a radial gap. The pin pad is connected to the annulus by the first arm and the second arm, is radially spaced from the annulus by the radial gap, and radially overlaps the crenel to nest a support member within the lift pin actuator during translation of the lift pin actuator along the rotation axis relative to the support member. Process kits, semiconductor processing systems, methods of making lift pin actuators and related material layer deposition methods are also described.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

20.

SYSTEMS AND APPARATUS FOR A LIFT PIN ASSEMBLY

      
Numéro d'application 18370943
Statut En instance
Date de dépôt 2023-09-21
Date de la première publication 2024-03-28
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Ricketts, Matthew

Abrégé

Various embodiments of the present technology may provide a system and apparatus for a lift pin assembly. The lift pin assembly may include a lift pin and a weight attached to and surrounding a portion of the lift pin. The weight may include a first member and a second member that attach to each other by a screw. Each member may have a channel. The channels of each member may form a through-hole to receive the lift pin.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

21.

SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18371227
Statut En instance
Date de dépôt 2023-09-21
Date de la première publication 2024-03-28
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Jeong, Wonki
  • Kim, Daeyoun

Abrégé

A substrate processing apparatus may be presented. The apparatus comprising a substrate reaction chamber configured to hold and process a substrate, a remote plasma unit for generating a radical gas to clean the substrate reaction chamber, a cooling unit, the cooling unit comprising: a tank configured to store water for cooling, a fan configured to generate an air flow, a plurality of fins placed in front of the fan, a plurality of cooling pipes configured to circulate the water from the tank and positioned to pass through in front of the fan, wherein the water passing through the cooling pipes cools down the air flow generated by the fan.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

22.

METHODS FOR PROVIDING A PRECURSOR MIXTURE TO A REACTION CHAMBER

      
Numéro d'application 18462938
Statut En instance
Date de dépôt 2023-09-07
Date de la première publication 2024-03-28
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Vervuurt, René Henricus Jozef
  • Blanquart, Timothee

Abrégé

Methods and systems for mixing precursors are disclosed. Systems and methods disclosed herein comprise mixing a first precursor and a second precursor in a mixing chamber. The first precursor and the second precursor can be provided to the mixing chamber in the gas phase or as liquids.

Classes IPC  ?

23.

COMPOSITIONS COMPRISING BORAZINE AND ITS DERIVATIVES, AND RELATED METHODS AND SYSTEMS

      
Numéro d'application 18463034
Statut En instance
Date de dépôt 2023-09-07
Date de la première publication 2024-03-28
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Romero, Patricio
  • Dezelah, Charles
  • Pore, Viljami J.

Abrégé

Compositions, related methods, and related systems are disclosed. The compositions can comprise a precursor and a liquid solvent. The precursor can be unstable in substantially pure form in an inert atmosphere at a temperature of at least 10° C. to at most 100° C. The solvent can have a vapor pressure of at most 1.0 mPa at a temperature of 20° C.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

24.

TRANSITION METAL DEPOSITION PROCESSES AND A DEPOSITION ASSEMBLY

      
Numéro d'application 18367491
Statut En instance
Date de dépôt 2023-09-13
Date de la première publication 2024-03-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Färm, Elina
  • Dezelah, Charles
  • Maes, Jan Willem

Abrégé

The current disclosure relates to methods of depositing a material comprising a transition metal and a halogen on a substrate. The disclosure further relates to a transition metal layer, to a structure and to a device comprising a layer that comprises a transition metal and a halogen. In the method, transition metal and halogen is deposited on a substrate by a cyclical deposition process, and the method includes providing a substrate in a reactor chamber, providing a transition metal precursor into the reactor chamber in vapor phase, and providing a haloalkane precursor into the reactor chamber in vapor phase to form a material comprising transition metal and halogen on the substrate. The disclosure further relates to a deposition assembly for depositing a material including a transition metal and a halogen on a substrate.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/16 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de métaux carbonyles
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

25.

SUBSTRATE HANDLING DEVICE WITH ADJUSTABLE JOINTS

      
Numéro d'application 18509543
Statut En instance
Date de dépôt 2023-11-15
Date de la première publication 2024-03-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Kim, Kihyun
  • Kim, Sam
  • Naik, Rutvij

Abrégé

An adjustable joint for insertion into a linkage of a substrate handler utilized for substrate processing. The adjustable joint allows for adjusting the pitch and roll of an attached link. Such adjustment may permit aligning a pickup surface of an end effector to a desired plane. Once adjusted, the joint may be fixed to maintain the desired orientation of the attached link. The adjustable joint allows for correcting deflection of a pickup surface of an end effector relative to a desired pickup plane due to, for example, drooping caused by high temperature usage, mechanical tolerances and/or installation errors.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • B65G 47/90 - Dispositifs pour saisir et déposer les articles ou les matériaux

26.

METHOD FOR FORMING A SEMICONDUCTOR DEVICE STRUCTURE AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

      
Numéro d'application 18522867
Statut En instance
Date de dépôt 2023-11-29
Date de la première publication 2024-03-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Xie, Qi
  • Zhu, Chiyu
  • Shrestha, Kiran
  • Calka, Pauline
  • Madia, Oreste
  • Maes, Jan Willem
  • Givens, Michael Eugene

Abrégé

A method for forming a semiconductor device structure is disclosure. The method may include, depositing an NMOS gate dielectric and a PMOS gate dielectric over a semiconductor substrate, depositing a first work function metal over the NMOS gate dielectric and over the PMOS gate dielectric, removing the first work function metal over the PMOS gate dielectric, and depositing a second work function metal over the NMOS gate dielectric and over the PMOS gate dielectric. Semiconductor device structures including desired metal gate electrodes deposited by the methods of the disclosure are also disclosed.

Classes IPC  ?

  • H01L 21/8238 - Transistors à effet de champ complémentaires, p.ex. CMOS
  • H01L 27/092 - Transistors à effet de champ métal-isolant-semi-conducteur complémentaires
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/51 - Matériaux isolants associés à ces électrodes

27.

METHOD OF DEPOSITING VANADIUM METAL, STRUCTURE, DEVICE AND A DEPOSITION ASSEMBLY

      
Numéro d'application 18522778
Statut En instance
Date de dépôt 2023-11-29
Date de la première publication 2024-03-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Dezelah, Charles
  • Shero, Eric James
  • Xie, Qi
  • Alessio Verni, Giuseppe
  • Deminskyi, Petro

Abrégé

The current disclosure relates to the manufacture of semiconductor devices, specifically to methods of forming vanadium metal on a substrate. The methods comprise providing a substrate in a reaction chamber, providing a vanadium precursor to the reaction chamber in a vapor phase and providing a reducing agent to the reaction chamber in a vapor phase to form vanadium metal on the substrate. The disclosure further relates to structures and devices formed by the methods, as well as to a deposition assembly.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

28.

METHOD OF SELECTIVELY FORMING PHOSPHOROUS-DOPED EPITAXIAL MATERIAL ON A SURFACE

      
Numéro d'application 18307489
Statut En instance
Date de dépôt 2023-04-26
Date de la première publication 2024-03-21
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Marozas, Brendan Timothy Padraig
  • Khazaka, Rami

Abrégé

Methods and systems for selectively forming phosphorus-doped epitaxial material. The methods can be used to selectively form the phosphorus-doped epitaxial material within a gap from the bottom upward. Exemplary methods can be used to, for example, form source and/or drain regions in field effect transistor devices, such as in gate-all-around field effect transistor devices.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

29.

METHODS AND ASSEMBLIES FOR SELECTIVELY DEPOSITING TRANSITION METALS

      
Numéro d'application 18367500
Statut En instance
Date de dépôt 2023-09-13
Date de la première publication 2024-03-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Färm, Elina
  • Maes, Jan Willem
  • Dezelah, Charles
  • Iwashita, Shinya
  • Saha, Arpita
  • Tois, Eva
  • Tuominen, Marko
  • Niemelä, Janne-Petteri
  • Romero, Patricio Eduardo
  • Zhu, Chiyu
  • Wilk, Glen
  • Saare, Holger
  • Byun, Youngchol
  • Bakke, Jonahtan

Abrégé

The disclosure relates to methods of selectively depositing material comprising a group 3 to 6 transition metal on a first surface of a substrate relative to a second surface of the substrate by a cyclic deposition process. The method includes providing a substrate in a reaction chamber, providing a transition metal precursor into the reaction chamber in a vapor phase, wherein the transition metal precursor comprises an aromatic ligand and providing a second precursor into the reaction chamber in a vapor phase to deposit transition metal on the first surface of the substrate. The disclosure further relates to a transition metal layers, and to deposition assemblies.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/56 - Post-traitement

30.

SYSTEM AND APPARATUS FOR A LIFT PIN

      
Numéro d'application 18243173
Statut En instance
Date de dépôt 2023-09-07
Date de la première publication 2024-03-14
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Jackson, George Brad
  • Puranik, Rohan Rajeev
  • Dunn, Todd Robert
  • Bu, Yingzong
  • Bhatt, Ruchik Jayeskumar

Abrégé

A lift pin assembly includes a holder to engage and secure the lift pin and a bellow to actuate the lift pin and the holder linearly and vertically. The holder includes three pieces that connect together to secure the lift pin within the holder. The holder includes a first piece having a recessed area, a second piece that nests within the recessed area, and a third piece adjacent the first and second pieces. The second piece contains a threaded hole to receive and secure the lift pin and the third piece contains a through-hole that aligns with the threaded hole of the second piece.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

31.

REACTION CHAMBER COMPONENT, DEPOSITION APPARATUS PROVIDED WITH SUCH COMPONENT AND METHOD OF PROTECTING SUCH COMPONENT

      
Numéro d'application 18465787
Statut En instance
Date de dépôt 2023-09-12
Date de la première publication 2024-03-14
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Iordanov, Iordan
  • Werkhoven, Christiaan
  • Wong, Loke Yuen
  • Raaijmakers, Ivo Johannes
  • Khalil, Osama

Abrégé

A reaction chamber component for use in a deposition apparatus for depositing a layer of a first material on a substrate is provided. The component may have a base material being partially coated with a liner of the first material. The component may have a protective layer of a second material different than the first material on top of the liner of the first material to protect the component. This may be useful during a removal process for removing a parasitic coating of the same first material deposited during use of the reaction chamber component.

Classes IPC  ?

  • C23C 16/40 - Oxydes
  • C23C 16/32 - Carbures
  • C23C 16/34 - Nitrures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

32.

METHODS FOR FORMING MANDRELS AND SPACERS, RELATED STRUCTURES, AND SYSTEMS

      
Numéro d'application 18463433
Statut En instance
Date de dépôt 2023-09-08
Date de la première publication 2024-03-14
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Piumi, Daniele
  • Raaijmakers, Ivo

Abrégé

Methods for patterning and forming structures, as well as related structures and systems are disclosed. The methods comprise forming a mandrel on a substrate. Forming the mandrel comprises executing a plurality of etching cycles to thin a structure.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

33.

METHOD OF FORMING A SI-COMPRISING EPITAXIAL LAYER SELECTIVELY ON A SUBSTRATE

      
Numéro d'application 18465835
Statut En instance
Date de dépôt 2023-09-12
Date de la première publication 2024-03-14
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Khazaka, Rami

Abrégé

A method for forming a Si-comprising epitaxial layer selectively on a substrate is disclosed. Embodiments of the presently described method comprise performing a cyclic deposition and etch processes, thereby forming selectively the Si-comprising epitaxial layer. The described method may help to form source/drain regions of field effect transistors in a bottom-up manner.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C30B 25/10 - Chauffage de l'enceinte de réaction ou du substrat
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

34.

SYSTEM AND APPARATUS FOR A REACTION CHAMBER

      
Numéro d'application 18239176
Statut En instance
Date de dépôt 2023-08-29
Date de la première publication 2024-03-07
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Cimada Da Silva, Jessica Akemi
  • Schmotzer, Michael

Abrégé

Various embodiments of the present technology may provide a system and apparatus for reaction chamber. The system and apparatus may contain a reaction chamber having a spacer plate disposed between a lower chamber of the reaction chamber and a showerhead. An active heating element may be embedded within the spacer plate. A flow control ring, disposed adjacent to the spacer plate, is heated by conduction from the spacer plate heating element.

Classes IPC  ?

  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

35.

SELECTIVE DEPOSITION OF SILICON OXIDE ON METAL SURFACES

      
Numéro d'application 18303095
Statut En instance
Date de dépôt 2023-04-19
Date de la première publication 2024-03-07
Propriétaire ASM IP HOLDING B.V. (Pays‑Bas)
Inventeur(s)
  • Illiberi, Andrea
  • Alessio Verni, Giuseppe
  • Deng, Shaoren
  • Chiappe, Daniele
  • Tois, Eva
  • Tuominen, Marko
  • Givens, Michael

Abrégé

Methods for selective deposition of silicon oxide films on metal or metallic surfaces relative to dielectric surfaces are provided. A dielectric surface of a substrate may be selectively passivated relative to a metal or metallic surface, such as by exposing the substrate to a silylating agent. Silicon oxide is then selectively deposited on the metal or metallic surface relative to the passivated oxide surface by contacting the metal surface with a metal catalyst and a silicon precursor comprising a silanol.

Classes IPC  ?

  • C23C 16/40 - Oxydes
  • B01J 31/12 - Catalyseurs contenant des hydrures, des complexes de coordination ou des composés organiques contenant des composés organiques ou des hydrures métalliques  contenant des composés organométalliques ou des hydrures métalliques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

36.

METHOD AND DEVICE FOR DEPOSITING SILICON ONTO SUBSTRATES

      
Numéro d'application 18388578
Statut En instance
Date de dépôt 2023-11-10
Date de la première publication 2024-03-07
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Tolle, John
  • Vyne, Robert

Abrégé

A method for forming a layer on a substrate includes providing a substrate in a reactor of a semiconductor processing system, the reactor having a divider separating an upper chamber from a lower chamber and a substrate holder therein, the substrate having upper and lower surfaces. The wafer is positioned within the reactor using the substrate holder such that the upper surface bounds the upper chamber, a silicon-containing gas is flowed through the upper chamber to deposit a layer of the upper surface, and a halogen-containing gas is flowed through the lower chamber to etch a deposited film on at least one wall bounding the lower chamber while flowing the silicon-containing gas through the upper chamber. Semiconductor processing systems are also described.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/24 - Dépôt uniquement de silicium
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

37.

SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18236504
Statut En instance
Date de dépôt 2023-08-22
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Yong, Sangheon
  • Kim, Hongsuk
  • Choi, Sungha
  • Park, Juhyuk
  • Kim, Kihun

Abrégé

A method of processing a substrate is disclosed, the method including: providing a substrate to a reaction space, the substrate having at least two gaps on a surface of the substrate, and depositing a flowable film in the at least two gaps while supplying a precursor and a reactant gas into the reaction space, wherein the depositing is discontinuously performed while a pumping operation for the reaction space is continuously maintained.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/34 - Nitrures
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

38.

SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18238063
Statut En instance
Date de dépôt 2023-08-25
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Yong, Sangheon
  • Kim, Hongsuk
  • Choi, Sungha
  • Park, Juhyuk
  • Kim, Kihun
  • Yang, Jihye

Abrégé

A substrate processing method includes providing, in a reaction space, a substrate including two gaps in a surface thereof, and filling the at least two gaps with a flowable film under a pulsed plasma atmosphere, while supplying a precursor and a reactant gas to the reaction space, wherein a difference of filling heights of the flowable film filled in the at least two gaps, between the at least two gaps, is reduced by adjusting a pulse frequency of pulsed plasma.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

39.

METHOD, ASSEMBLY AND SYSTEM FOR GAS INJECTION AND CONTROL

      
Numéro d'application 18238573
Statut En instance
Date de dépôt 2023-08-28
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Gao, Peipei
  • Wang, Wentao
  • Ye, Han
  • Zhou, Kai
  • Gao, Fan
  • Lin, Xing

Abrégé

Methods, systems, and assemblies suitable for gas-phase processes are disclosed. An exemplary assembly includes a susceptor ring and at least one injector tube. The injector tube can be disposed within the susceptor ring to provide a gas to a peripheral region of a substrate. Methods, systems, and assemblies can be used to obtain desired (e.g. composition and/or thickness) profiles of material on a substrate surface.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

40.

METHOD, ASSEMBLY AND SYSTEM FOR GAS INJECTION

      
Numéro d'application 18238577
Statut En instance
Date de dépôt 2023-08-28
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Ye, Han
  • Gao, Peipei
  • Wang, Wentao
  • Chitale, Aniket
  • Lin, Xing
  • Demos, Alexandros
  • Lu, Yanfu

Abrégé

Methods, systems, and assemblies suitable for gas-phase processes are disclosed. An exemplary assembly includes a susceptor ring and at least one injector tube. The injector tube can be disposed within the susceptor ring to provide a gas to a lower chamber area of a reactor. Methods, systems, and assemblies can be used to obtain desired etching and purging of the lower chamber area.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

41.

METHOD AND SYSTEMS FOR FORMING DEVICE STRUCTURES INCLUDING HIGH-K DIELECTRIC LAYERS AND RELATED DEVICE STRUCTURES

      
Numéro d'application 18238586
Statut En instance
Date de dépôt 2023-08-28
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Tang, Fu
  • Shero, Eric James

Abrégé

Methods for forming a device structure including a high-k dielectric layer are disclosed. An exemplary method includes using a first cyclical deposition process to deposit a dielectric layer on a substrate and using a second cyclical deposition process to deposit a capping layer directly on the dielectric layer. The methods also include thermally annealing the dielectric layer with the capping layer directly thereon to form a high-k dielectric layer. Exemplary device structures are disclosure, such as metal-insulator-metal capacitor structures.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/40 - Oxydes
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/56 - Post-traitement
  • H01L 21/311 - Gravure des couches isolantes

42.

SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18238020
Statut En instance
Date de dépôt 2023-08-25
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Choi, Sungha
  • Kim, Hongsuk
  • Kim, Kihun
  • Yong, Sangheon
  • Park, Juhyuk

Abrégé

A method of processing a substrate having a gap includes loading the substrate onto a substrate support unit, supplying an oligomeric silicon precursor and a nitrogen-containing gas to the substrate through a gas supply unit on the substrate support unit, and generating a direct plasma in a reaction space by applying a voltage to at least one of the substrate support unit and the gas supply unit, wherein a plurality of sub-steps are performed during the supplying of the oligomeric silicon precursor and the nitrogen-containing gas and the generating a direct plasma, and different plasma duty ratios are applied during the plurality of sub-steps.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

43.

METHODS FOR DEPOSITING A MOLYBDENUM NITRIDE FILM ON A SURFACE OF A SUBSTRATE BY A CYCLICAL DEPOSITION PROCESS AND RELATED SEMICONDUCTOR DEVICE STRUCTURES INCLUDING A MOLYBDENUM NITRIDE FILM

      
Numéro d'application 18387914
Statut En instance
Date de dépôt 2023-11-08
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Stevens, Eric Christopher
  • Zope, Bhushan
  • Swaminathan, Shankar
  • Dezelah, Charles
  • Xie, Qi
  • Alessio Verni, Giuseppe

Abrégé

Methods for depositing a molybdenum nitride film on a surface of a substrate are disclosed. The methods may include: providing a substrate into a reaction chamber; and depositing a molybdenum nitride film directly on the surface of the substrate by performing one or more unit deposition cycles of cyclical deposition process, wherein a unit deposition cycle may include, contacting the substrate with a first vapor phase reactant comprising a molybdenum halide precursor, and contacting the substrate with a second vapor phase reactant comprising a nitrogen precursor. Semiconductor device structures including a molybdenum nitride film are also disclosed.

Classes IPC  ?

  • C23C 16/34 - Nitrures
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/08 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir d'halogénures métalliques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • G11C 5/06 - Dispositions pour interconnecter électriquement des éléments d'emmagasinage
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/06 - Corps semi-conducteurs caractérisés par les formes, les dimensions relatives, ou les dispositions des régions semi-conductrices
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/786 - Transistors à couche mince
  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]

44.

SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18452725
Statut En instance
Date de dépôt 2023-08-21
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Parui, Subir
  • Knaepen, Werner
  • Pierreux, Dieter
  • Houben, Kelly
  • Terhorst, Herbert
  • Oosterlaken, Theodorus G.M.
  • Karagiannis, Angelos

Abrégé

A substrate processing apparatus configured to from a layer on a plurality of substrates is disclosed. Embodiments of the presently described substrate processing apparatus comprise a process chamber. The process chamber comprises process space for receiving a substrate boat arranged for holding the plurality of substrates. The substrate processing apparatus further comprise a gas delivery assembly comprising at least one gas injector; a gas exhaust assembly comprising two gas outlets. The two gas outlets are positioned at a distance on either side of the at least one gas injector.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

45.

CHAMBER ARRANGEMENTS, SEMICONDUCTOR PROCESSING SYSTEMS HAVING CHAMBER ARRANGEMENTS, AND RELATED MATERIAL LAYER DEPOSITION METHODS

      
Numéro d'application 18458101
Statut En instance
Date de dépôt 2023-08-29
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Lu, Yanfu
  • Miskin, Caleb
  • Demos, Alexandros
  • Kajbafvala, Amir
  • Murali, Arun

Abrégé

A chamber arrangement has a chamber body with upper and lower walls. A substrate support is arranged within an interior of the chamber body and supported for rotation about a rotation axis. An upper heater element array is supported above the upper wall and a lower heater element array supported below the lower wall. A pyrometer is supported above the upper heater element array, is optically coupled to the interior of the chamber body, and is operably connected to the upper heater element array. A thermocouple is arranged within the interior of the chamber body, is in intimate mechanical contact with the substrate support, and is operably connected to the lower heater element array. Semiconductor processing systems and material layer deposition methods are also described.

Classes IPC  ?

  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p.ex. borures, carbures, nitrures
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat

46.

FLOW CONTROL ARRANGEMENTS, SEMICONDUCTOR PROCESSING SYSTEMS HAVING FLOW CONTROL ARRANGEMENTS, AND FLOW CONTROL METHODS

      
Numéro d'application 18458216
Statut En instance
Date de dépôt 2023-08-30
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Holbrook, Glenn

Abrégé

A flow control arrangement includes a source conduit, a supply conduit, a shutoff valve, and a slow-close actuator. The shutoff valve connects the source conduit to the supply conduit. The slow-close actuator is connected to the shutoff valve to close the shutoff valve during a slow-close interval, the pyrophoric material detector is operably connected to the slow-close actuator to close the shutoff valve upon detection of a metastable mass of a pyrophoric material outside of the flow control arrangement, and the slow-close interval is sized to limit shock communicated to the metastable mass by closing of the shutoff valve and prevent rapid deflagration or detonation of the metastable mass of the pyrophoric material. Semiconductor processing systems including the flow control arrangement and related flow control methods are also described.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

47.

METHODS FOR FILLING A GAP FEATURE ON A SUBSTRATE SURFACE AND RELATED SEMICONDUCTOR STRUCTURES

      
Numéro d'application 17073544
Statut En instance
Date de dépôt 2020-10-19
Date de la première publication 2024-02-29
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Bhatnagar, Kunal
  • Boochakravarthy, Ashwin Agathya
  • Li, Wei

Abrégé

Methods for filling a gap feature on a substrate surface are disclosure. The methods may include: providing a substrate comprising one or more gap features into a reaction chamber; and depositing a metallic gap-fill film within the gap feature by performing repeated unit cycles of a cyclical deposition process. Semiconductor structures including metallic gap-fill films are also disclosed.

Classes IPC  ?

  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/423 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative ne transportant pas le courant à redresser, à amplifier ou à commuter
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur

48.

METHOD OF FORMING MATERIAL WITHIN A RECESS

      
Numéro d'application 18234549
Statut En instance
Date de dépôt 2023-08-16
Date de la première publication 2024-02-22
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Igarashi, Makoto
  • Yoshimoto, Shinya
  • Guhit, Jhoelle Roche
  • Hwang, Ling Chi

Abrégé

Methods and systems of forming material within a recess are disclosed. Exemplary methods include forming a flowable material at a first temperature (T1) within a reaction chamber, the flowable material forming deposited material within the recess, treating the deposited material to form treated material, and heating the substrate including the treated material at a second temperature (T2) to remove a portion of the deposited material.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques

49.

SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18235013
Statut En instance
Date de dépôt 2023-08-17
Date de la première publication 2024-02-22
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Yong, Sangheon
  • Kim, Hongsuk
  • Choi, Sungha
  • Park, Juhyuk
  • Kim, Kihun

Abrégé

A method of processing a substrate is disclosed, the method including: providing the substrate where a gap is formed on a surface thereof to a reaction space, performing a deposition step of depositing a flowable film in the gap of the substrate while supplying a precursor and a reactant gas to the reaction space, performing a plasma treatment step to the flowable film so that the flowability of the flowable film in an upper region of the gap decreases compared to a lower region of the gap, and repeating the deposition step of depositing the flowable film and the plasma treatment step to the flowable film, to form an air-gap within the gap.

Classes IPC  ?

  • H01L 21/764 - Espaces d'air
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/762 - Régions diélectriques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/34 - Nitrures
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques

50.

SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18232990
Statut En instance
Date de dépôt 2023-08-11
Date de la première publication 2024-02-22
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Yong, Sangheon
  • Kim, Hongsuk
  • Park, Juhyuk
  • Choi, Sungha
  • Kim, Kihun

Abrégé

Provided is a method of efficiently forming a dense and solid silicon oxide film on a substrate and a method of manufacturing a semiconductor device by using the same. The formation method comprises: providing a substrate to a reaction chamber; forming a flowable silicon nitride film on the substrate; converting the flowable silicon nitride film into a flowable silicon oxide film; densifying the flowable silicon oxide film; and post-treating the densified silicon oxide film with an inert gas plasma to increase a density of the silicon oxide film.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

51.

SEMICONDUCTOR PROCESSING CHAMBER WITH FILAMENT LAMPS HAVING NONUNIFORM HEAT OUTPUT

      
Numéro d'application 18496081
Statut En instance
Date de dépôt 2023-10-27
Date de la première publication 2024-02-15
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Rajavelu Muralidhar, Shiva K.T.
  • Kim, Sam

Abrégé

An arrangement of linear heat lamps is provided which allows for localized control of temperature nonuniformities in a substrate during semiconductor processing. A reactor includes a substrate holder positioned between a top array and a bottom array of linear heat lamps. At least one lamp of the arrays includes a filament having a varying density and power output along the length of the lamp. In particular, at least one lamp of the arrays includes a filament having a higher filament winding density within a central portion of the lamp relative to peripheral portions of the lamp. In some embodiments, the at least one lamp is a central lamp extending across a central portion of the substrate heated by the lamp. Furthermore, at least one lamp of the arrays has a higher power output within a central portion of the lamp than at peripheral portions of the lamp.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p.ex. recuit, frittage
  • H01K 1/14 - Corps à incandescence caractérisés par la forme
  • H05B 3/00 - Chauffage par résistance ohmique
  • F27B 17/00 - Fours d'un genre non couvert par l'un des groupes
  • H01K 7/00 - Lampes prévues pour applications autres que celles d'éclairage de type général

52.

METHODS AND APPARATUS FOR A SUSCEPTOR LIFT TOOL

      
Numéro d'application 18230729
Statut En instance
Date de dépôt 2023-08-07
Date de la première publication 2024-02-15
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Bhatt, Ruchik
  • Ricketts, Matthew

Abrégé

Embodiments of the present invention provide a lift tool used to facilitate moving a susceptor. The lift tool includes a plate-shaped central region, a plurality of arms connected to and extending outward from the central region, wherein each arm includes a through-hole. The lift tool also includes a plurality of hooks extending through the through hole of a respective arm. Each hook may include a leg and a foot connected to the leg.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

53.

METHOD FOR FORMING LAYER ON DIFFERENT-DENSITY PATTERN REGIONS

      
Numéro d'application 18227485
Statut En instance
Date de dépôt 2023-07-28
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Susa, Yoshio

Abrégé

A method for forming a layer on a low-density pattern region having first recesses and a high-density pattern region having second recesses formed on a substrate to be processed, includes a first step of forming a first layer in the first recesses so as to be higher than the first recess top and forming a second layer in the second recesses so as to be higher than the second recess top, an etching step of etching a first layer so as to be higher than the first recess top and of etching a second layer so as to be lower than the second recess top, and a second step of forming a third layer on the first layer and of forming a fourth layer in the second recesses so as to be higher than the second recess top.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
  • C23C 16/56 - Post-traitement
  • C23C 16/26 - Dépôt uniquement de carbone
  • C23C 16/32 - Carbures
  • C23C 16/40 - Oxydes
  • C23C 16/34 - Nitrures
  • C23C 16/36 - Carbo-nitrures

54.

SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18228122
Statut En instance
Date de dépôt 2023-07-31
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Choi, Sungha
  • Kim, Hongsuk
  • Yong, Sangheon
  • Park, Juhyuk
  • Kim, Kihun

Abrégé

A method of processing a substrate having a gap includes loading the substrate onto a substrate support unit, supplying an oligomeric silicon precursor and a nitrogen-containing gas onto the substrate on the substrate support unit through a gas supply unit, and generating plasma directly in a reaction space by applying a voltage to at least one of the substrate support unit and the gas supply unit, wherein a plurality of sub-steps are performed during the supplying of the oligomeric silicon precursor, the nitrogen-containing gas and the generating a direct plasma, wherein different process parameters are applied during the plurality of sub-steps.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

55.

SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18361442
Statut En instance
Date de dépôt 2023-07-28
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Oh, Yongseok

Abrégé

A substrate processing apparatus may be presented. The apparatus comprising a reaction chamber configured to hold a substrate, a deposition system to deposit a layer on the substrate according to a recipe, an automatic deposition compensation system comprising a calculator programmed: to calculate an accumulated layer thickness (x) deposited on the inside of the reaction chamber by the deposition system as a function of the recipes run in the reaction chamber and, to calculate an offset value (y) as a function of the accumulated layer thickness (x). The automatic deposition compensation system may be connected to the deposition system to adjust the recipe ran by the deposition system with the offset value (y).

Classes IPC  ?

  • C23C 16/52 - Commande ou régulation du processus de dépôt

56.

PRESSURE-BASED SENSOR SYSTEM FOR PRECURSOR LEVEL MEASUREMENT AND METHOD THEREFOR

      
Numéro d'application 18361572
Statut En instance
Date de dépôt 2023-07-28
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Verni, Giuseppe Alessio
  • Honniball, Kenneth
  • Pore, Viljami

Abrégé

A pressure-based sensor system is described by which the amount of solid precursor in a precursor vessel for a semiconductor manufacturing process can be determined. The system comprises at least two fluidly connected chambers having a known volume, and a pressure sensor configured to measure a plurality of pressures in said chambers.

Classes IPC  ?

  • G01F 23/14 - Indication ou mesure du niveau des liquides ou des matériaux solides fluents, p.ex. indication en fonction du volume ou indication au moyen d'un signal d'alarme par mesurage de la pression
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

57.

WAFER BOAT AND A METHOD FOR FORMING LAYER ON A PLURALITY OF SUBSTRATES

      
Numéro d'application 18362404
Statut En instance
Date de dépôt 2023-07-31
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Pierreux, Dieter
  • Jongbloed, Bert
  • Ernur, Didem

Abrégé

A wafer boat and a method for forming a layer on a plurality of substrates that are provided in the wafer boat is disclosed. Aspects of the presently described wafer boat comprise at least two wafer boat rods, each of which including at least a first set of slots for holding a plurality of substrates. The wafer boat further includes a plurality of plates, whereby at least one slot of the at least first set of slots is provided in between two neighboring plates.

Classes IPC  ?

  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches

58.

System for Processing Semiconductor Wafer Storage Cassettes, Combinations, and Method of Transporting

      
Numéro d'application 18366012
Statut En instance
Date de dépôt 2023-08-07
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Ter Vrugt, Erik

Abrégé

A system for processing semiconductor wafer storage cassettes, comprising: a vertical batch furnace assembly configured to process a semiconductor wafer storage cassette, the vertical batch furnace assembly comprising a vertical batch furnace configured to process wafers from the cassette; a floor assembly arranged at the vertical batch furnace assembly, the floor assembly comprising a two-dimensional array of electromagnets arranged below a top surface of the floor assembly, the array extending along the top surface; at least one platform assembly comprising a magnet and configured to support at least one of the cassettes thereon;, wherein the system is configured for levitating the at least one platform assembly above the top surface of the floor assembly.

Classes IPC  ?

  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01F 7/02 - Aimants permanents
  • H01F 7/20 - Electro-aimants; Actionneurs comportant des électro-aimants sans armature

59.

METHOD AND APPARATUS FOR TRANSMITTANCE MEASUREMENTS OF LARGE ARTICLES

      
Numéro d'application 18379312
Statut En instance
Date de dépôt 2023-10-12
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Rajavelu Muralidhar, Shiva K.T.
  • Alvandi-Tabrizi, Youness
  • Disanto, John
  • Kim, Sam

Abrégé

Methods and apparatus for measuring light intensity are disclosed. The methods and apparatus can be used to verify an article, such as a reaction chamber. Exemplary apparatus include a first arm, a light source coupled to the first arm, a second arm, and a sensor coupled to the second arm. The sensor can receive light from the light source that is transmitted through at least a portion of the article.

Classes IPC  ?

  • G01N 21/59 - Transmissivité
  • G01N 21/958 - Inspection de matériaux transparents
  • G01B 11/02 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur
  • G01N 33/207 - Soudures ou brasures; Soudabilité

60.

CYCLICAL DEPOSITION METHOD AND APPARATUS FOR FILLING A RECESS FORMED WITHIN A SUBSTRATE SURFACE

      
Numéro d'application 18380981
Statut En instance
Date de dépôt 2023-10-17
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Liu, Zecheng
  • Pore, Viljami

Abrégé

There is provided a method of filling one or more recesses by providing the substrate in a reaction chamber; introducing a first reactant, to form first active species, for a first pulse time to the substrate; introducing a second reactant for a second pulse time to the substrate; and introducing a third reactant, to form second active species, for a third pulse time to the substrate. An apparatus for filling a recess is also disclosed and a structure formed using the method and/or apparatus is disclosed.

Classes IPC  ?

  • H01L 21/762 - Régions diélectriques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

61.

SELECTIVE PASSIVATION AND SELECTIVE DEPOSITION

      
Numéro d'application 18491428
Statut En instance
Date de dépôt 2023-10-20
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Maes, Jan Willem Hub
  • Givens, Michael Eugene
  • Haukka, Suvi P.
  • Paruchuri, Vamsi
  • Raaijmakers, Ivo Johannes
  • Deng, Shaoren
  • Illiberi, Andrea
  • Tois, Eva E.
  • Longrie, Delphine
  • Pore, Viljami J.

Abrégé

Methods for selective deposition are provided. Material is selectively deposited on a first surface of a substrate relative to a second surface of a different material composition. An inhibitor, such as a polyimide layer, is selectively formed from vapor phase reactants on the first surface relative to the second surface. A layer of interest is selectively deposited from vapor phase reactants on the second surface relative to the first surface. The first surface can be metallic while the second surface is dielectric. Accordingly, material, such as a dielectric transition metal oxides and nitrides, can be selectively deposited on metallic surfaces relative dielectric surfaces using techniques described herein.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p.ex. recuit, frittage
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

62.

METHOD OF FORMING TREATED SILICON-CARBON MATERIAL

      
Numéro d'application 18227016
Statut En instance
Date de dépôt 2023-07-27
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Sugiura, Hirotsugu
  • Kikuchi, Yoshiyuki

Abrégé

Methods and systems of forming treated silicon-carbon material are disclosed. Exemplary methods include depositing silicon-carbon material onto a surface of the substrate and treating the silicon-carbon material. The step of treating can include a first treatment step followed by a second treatment step, wherein the first treatment step includes providing first reductant gas activated species and the second treatment step includes providing one or more of a first oxidant gas activated species and a second reductant gas activated species.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/32 - Carbures
  • C23C 16/56 - Post-traitement
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

63.

METHOD FOR FILLING A GAP IN A THREE-DIMENSIONAL STRUCTURE ON A SEMICONDUCTOR SUBSTRATE

      
Numéro d'application 18378403
Statut En instance
Date de dépôt 2023-10-10
Date de la première publication 2024-02-08
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Kim, Kikang
  • Kwon, Hak-Yong
  • Kim, Hiechul
  • Kang, Sungkyu
  • Lee, Seunghwan
  • Kim, Sungbae
  • Ahn, Jonghyun
  • Kim, Seongryeong
  • Kim, Kyumin
  • Kim, Youngmin

Abrégé

This application relates to a method of filling a gap in a three-dimensional structure over a semiconductor substrate. The method may include depositing a thin film at least on a three-dimensional structure over a substrate using at least one reaction gas activated with a first radio frequency (RF) power having a first frequency, the three dimensional structure comprising a trench and/or hole. The method may also include etching the deposited thin film using at least one etchant activated with a second RF power having a second frequency lower than the first frequency. The method may further include repeating a cycle of the depositing and the etching at least once until the trench and/or hole are filled with the thin film. According to some embodiments, a thin film having substantially free of voids and/or seams can be formed in the three-dimensional structure.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/56 - Post-traitement
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

64.

SEMICONDUCTOR MANUFACTURING MONITORING PROCESS

      
Numéro d'application 18359731
Statut En instance
Date de dépôt 2023-07-26
Date de la première publication 2024-02-01
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Deckers, Jan
  • Shero, Eric James

Abrégé

The invention provides in method and systems for determining the amount of solid precursor in a precursor vessel of a semiconductor manufacturing process, wherein the amount of precursor in the precursor vessel is determined by measuring through monochromatic measurements an optical absorption in the process gas flowing from the precursor vessel to the process chamber.

Classes IPC  ?

  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • G01N 7/18 - Analyse des matériaux en mesurant la pression ou le volume d'un gaz ou d'une vapeur en permettant au matériau d'émettre un gaz ou une vapeur, p.ex. la vapeur d'eau, et en mesurant une différence de pression ou de volume en permettant au matériau de réagir

65.

CAPACITIVE SENSOR SYSTEM FOR PRECURSOR LEVEL MEASUREMENT AND METHOD THEREFOR

      
Numéro d'application 18359629
Statut En instance
Date de dépôt 2023-07-26
Date de la première publication 2024-02-01
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Vandalon, Vincent

Abrégé

In the present description, a capacitive sensor system is described by means of which the amount of solid precursor in a precursor vessel for a semiconductor manufacturing process can be determined and to a method for using the same. The system comprises at least two electrodes that are arranged in a substantially parallel manner within the precursor vessel.

Classes IPC  ?

  • G01F 23/263 - Indication ou mesure du niveau des liquides ou des matériaux solides fluents, p.ex. indication en fonction du volume ou indication au moyen d'un signal d'alarme en mesurant des variables physiques autres que les dimensions linéaires, la pression ou le poids, selon le niveau à mesurer, p.ex. par la différence de transfert de chaleur de vapeur ou d'eau en mesurant les variations de capacité ou l'inductance de condensateurs ou de bobines produites par la présence d'un liquide ou d'un matériau solide fluent dans des champs électriques ou électromagnétiques en mesurant les variations de capacité de condensateurs
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

66.

Electrode for semiconductor processing apparatus

      
Numéro d'application 29752090
Numéro de brevet D1012873
Statut Délivré - en vigueur
Date de dépôt 2020-09-24
Date de la première publication 2024-01-30
Date d'octroi 2024-01-30
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Kim, Jaehyun
  • Lee, Jeongho
  • Jang, Hyunsoo

67.

METHOD AND A SUBSTRATE PROCESSING APPARATUS FOR FORMING AN EPITAXIAL STACK ON A PLURALITY OF SUBSTRATES

      
Numéro d'application 18350517
Statut En instance
Date de dépôt 2023-07-11
Date de la première publication 2024-01-25
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Khazaka, Rami

Abrégé

A method for forming an epitaxial stack on a plurality of substrates comprises providing a plurality of substrates to a process chamber and executing deposition cycles, wherein each deposition cycle comprises a first deposition pulse and a second deposition pulse. The epitaxial stack comprises a first epitaxial layer stacked alternatingly and repeatedly with a second epitaxial layer, the second epitaxial layer being different from the first epitaxial layer. The first deposition pulse comprises a provision of a first reaction gas mixture to the process chamber, thereby forming the first epitaxial layer having a first native lattice parameter. The second deposition pulse comprises a provision of a second reaction gas mixture to the process chamber, thereby forming the second epitaxial layer having a second native lattice parameter, wherein the first native lattice parameter lies in a range within 1.5% larger than and 0.9% smaller than the second native lattice parameter.

Classes IPC  ?

  • C30B 25/16 - Commande ou régulation
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/24 - Dépôt uniquement de silicium
  • C30B 25/12 - Porte-substrat ou supports
  • C30B 29/06 - Silicium
  • C30B 29/68 - Cristaux avec une structure multicouche, p.ex. superréseaux

68.

SUBSTRATE PROCESSING METHOD

      
Numéro d'application 18222012
Statut En instance
Date de dépôt 2023-07-14
Date de la première publication 2024-01-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Gu, Yeahyun
  • Ko, Kwangman
  • Kim, Hyunchul
  • Lee, Hakjoo
  • Arai, Takahiro
  • Kou, Hiroshi

Abrégé

Provided is a method for forming a TiO2—SiO2 laminated layer for suppressing a crystallization of TiO2 layer. In one embodiment, a TiO2—SiO2 laminated layer may be formed by alternately forming and stacking a TiO2 layer and a SiO2 layer by plasma atomic layer deposition. A TiO2—SiO2 laminated layer has a high film strength compared to the conventional SiO2 layer and a crystallization of TiO2 layer is suppressed by forming a laminated layer and controlling a cycle ratio of the step of forming a TiO2 layer to the step of forming a SiO2 layer.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/56 - Post-traitement
  • C23C 16/40 - Oxydes

69.

THERMAL ATOMIC LAYER ETCHING PROCESSES

      
Numéro d'application 18349444
Statut En instance
Date de dépôt 2023-07-10
Date de la première publication 2024-01-25
Propriétaire ASM IP HOLDING B.V. (Pays‑Bas)
Inventeur(s)
  • Blomberg, Tom E.
  • Sharma, Varun
  • Haukka, Suvi
  • Tuominen, Marko
  • Zhu, Chiyu

Abrégé

Thermal atomic layer etching processes are disclosed. In some embodiments, the methods comprise at least one etch cycle in which the substrate is alternately and sequentially exposed to a first vapor phase halide reactant and a second vapor halide reactant. In some embodiments, the first reactant may comprise an organic halide compound. During the thermal ALE cycle, the substrate is not contacted with a plasma reactant.

Classes IPC  ?

  • C23F 4/02 - Procédés pour enlever des matériaux métalliques des surfaces, non couverts par le groupe ou par évaporation
  • C23F 1/12 - Compositions gazeuses
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • C09K 13/00 - Compositions pour l'attaque chimique, la gravure, le brillantage de surface ou le décapage
  • H01L 21/311 - Gravure des couches isolantes
  • C09K 13/08 - Compositions pour l'attaque chimique, la gravure, le brillantage de surface ou le décapage contenant un acide inorganique contenant un composé du fluor
  • C09K 13/10 - Compositions pour l'attaque chimique, la gravure, le brillantage de surface ou le décapage contenant un acide inorganique contenant un composé du bore
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

70.

METHODS FOR DEPOSITING GAP FILLING FLUIDS AND RELATED SYSTEMS AND DEVICES

      
Numéro d'application 18374832
Statut En instance
Date de dépôt 2023-09-29
Date de la première publication 2024-01-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Blanquart, Timothee

Abrégé

Methods and systems for manufacturing a structure comprising a substrate. The substrate comprises plurality of recesses and a plurality of lateral spaces. The recesses and lateral spaces are at least partially filled with a gap filling fluid.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/34 - Nitrures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

71.

METHODS FOR FORMING A METAL SILICATE FILM ON A SUBSTRATE IN A REACTION CHAMBER AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

      
Numéro d'application 18376014
Statut En instance
Date de dépôt 2023-10-03
Date de la première publication 2024-01-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Tang, Fu
  • Hsu, Peng-Fu
  • Givens, Michael Eugene
  • Xie, Qi

Abrégé

Methods for forming a metal silicate film on a substrate in a reaction chamber by a cyclical deposition process are provided. The methods may include: regulating the temperature of a hydrogen peroxide precursor below a temperature of 70° C. prior to introduction into the reaction chamber, and depositing the metal silicate film on the substrate by performing at least one unit deposition cycle of a cyclical deposition process. Semiconductor device structures including a metal silicate film formed by the methods of the disclosure are also provided.

Classes IPC  ?

  • H01L 29/40 - Electrodes
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • C23C 16/40 - Oxydes
  • H01L 29/51 - Matériaux isolants associés à ces électrodes
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée
  • H01L 29/161 - Corps semi-conducteurs caractérisés par les matériaux dont ils sont constitués comprenant, mis à part les matériaux de dopage ou autres impuretés, seulement des éléments du groupe IV de la classification périodique, sous forme non combinée comprenant plusieurs des éléments prévus en

72.

LIQUID VAPORIZER

      
Numéro d'application 18376025
Statut En instance
Date de dépôt 2023-10-03
Date de la première publication 2024-01-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Winkler, Jereld Lee

Abrégé

A semiconductor processing device is disclosed. The semiconductor device includes a reactor and a vaporizer configured to provide a reactant vapor to the reactor. The device can include a process control chamber between the vaporizer and the reactor. The device can include a control system configured to modulate a pressure in the process control chamber based at least in part on feedback of measured pressure in the process control chamber.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

73.

METHODS FOR FORMING A TRANSITION METAL NIOBIUM NITRIDE FILM ON A SUBSTRATE BY ATOMIC LAYER DEPOSITION AND RELATED SEMICONDUCTOR DEVICE STRUCTURES

      
Numéro d'application 18376597
Statut En instance
Date de dépôt 2023-10-04
Date de la première publication 2024-01-25
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Chen, Jerry Peijun
  • Alokozai, Fred

Abrégé

Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures are provided. In some embodiments methods may include contacting a substrate with a first reactant comprising a transition metal precursor, contacting the substrate with a second reactant comprising a niobium precursor and contacting the substrate with a third reactant comprising a nitrogen precursor. In some embodiments related semiconductor device structures may include a semiconductor body and an electrode comprising a transition metal niobium nitride disposed over the semiconductor body.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 29/49 - Electrodes du type métal-isolant-semi-conducteur
  • H10B 12/00 - Mémoires dynamiques à accès aléatoire [DRAM]
  • C23C 16/34 - Nitrures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

74.

FLOW CONTROL ARRANGEMENTS WITH ENCLOSED FLOW SWITCHES AND ISOLATION VALVES, SEMICONDUCTOR PROCESSING SYSTEMS, AND FLOW CONTROL METHODS

      
Numéro d'application 18351227
Statut En instance
Date de dépôt 2023-07-12
Date de la première publication 2024-01-18
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Holbrook, Glenn
  • Fessler, Mark

Abrégé

A flow control arrangement includes a housing, an isolation valve, and a flow switch. The housing seats an inlet conduit and an outlet conduit. The isolation valve is arranged in the housing and is connected to the inlet conduit. The flow switch is arranged in the housing, is connected to the isolation valve, and fluidly couples the outlet conduit to the isolation valve. The flow switch further has a shutoff trigger and is operatively connected to the isolation valve to close the isolation valve when flow traversing the isolation valve is greater than the shutoff trigger. Semiconductor processing systems and flow control methods are also provided.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • G05D 7/06 - Commande de débits caractérisée par l'utilisation de moyens électriques

75.

FLOW CONTROL ARRANGEMENTS WITH BYPASS SWITCHES, SEMICONDUCTOR PROCESSING SYSTEMS, AND RELATED FLOW CONTROL METHODS

      
Numéro d'application 18351295
Statut En instance
Date de dépôt 2023-07-12
Date de la première publication 2024-01-18
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Holbrook, Glenn
  • Fessler, Mark

Abrégé

A flow control arrangement includes a housing seating inlet and outlet conduits, an isolation valve arranged within the housing and is connected to the inlet conduit, a flow switch, and a bypass switch. The flow switch has a shutoff trigger, is arranged within the housing, and couples the isolation valve to the outlet conduit. The bypass switch is coupled to the isolation valve and has first and second positions. The flow switch is operably coupled to the isolation valve when the bypass switch is in the first position to close the isolation valve when flow rate of fluid traversing the flow switch rises above the shutoff trigger, and is operably decoupled from the isolation valve when the bypass switch is in the second position to flow fluid through the flow switch at flow rates greater than the shutoff trigger. Semiconductor processing systems and related flow control methods are also described.

Classes IPC  ?

  • G05D 7/06 - Commande de débits caractérisée par l'utilisation de moyens électriques
  • G05B 19/05 - Automates à logique programmables, p.ex. simulant les interconnexions logiques de signaux d'après des diagrammes en échelle ou des organigrammes

76.

FLOW CONTROL ARRANGEMENTS WITH FLOW SWITCHES, SEMICONDUCTOR PROCESSING SYSTEMS, AND FLOW CONTROL METHODS

      
Numéro d'application 18351150
Statut En instance
Date de dépôt 2023-07-12
Date de la première publication 2024-01-18
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Holbrook, Glenn

Abrégé

A flow control arrangement is provided including a housing seating inlet and outlet conduits is provided. An isolation valve is arranged within the housing and is fluidly coupled to the inlet conduit. A first flow switch with a first shutoff trigger is arranged within the housing and fluidly couples the isolation valve to the outlet conduit. A second flow switch with a second shutoff trigger is arranged outside of the housing and is fluidly separated from the first flow switch. A controller operably connects the first and second flow switches to the isolation valve to close the isolation valve when (a) flow rate of a first fluid traversing the first flow switch is greater than the first shutoff trigger, or (b) flow rate of a second fluid traversing the second flow switch is less than the second shutoff trigger. Semiconductor processing systems and flow control methods are also described.

Classes IPC  ?

  • G05D 7/06 - Commande de débits caractérisée par l'utilisation de moyens électriques

77.

METHOD OF DEPOSITING CONDENSABLE MATERIAL ONTO A SURFACE OF A SUBSTRATE

      
Numéro d'application 18218221
Statut En instance
Date de dépôt 2023-07-05
Date de la première publication 2024-01-11
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Kou, Hiroshi
  • Fukuda, Hideaki

Abrégé

Methods of depositing material onto a surface of a substrate. Exemplary methods include flowing a gas-phase precursor within the reaction chamber, condensing the precursor onto the surface of the substrate to form condensed material, and curing the condensed material to transform the condensed material to cured material. The step of curing can be a plasma process and can include use of a reactant.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/34 - Nitrures

78.

METHOD FOR SELECTIVE DEPOSITION OF SILICON NITRIDE AND STRUCTURE INCLUDING SELECTIVELY-DEPOSITED SILICON NITRIDE LAYER

      
Numéro d'application 18218726
Statut En instance
Date de dépôt 2023-07-06
Date de la première publication 2024-01-11
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Setiadi, Agung
  • Matsuda, Hiroki
  • Kawahara, Jun

Abrégé

A method for selectively depositing silicon nitride on a first material relative to a second material is disclosed. An exemplary method includes performing one or more deposition cycles and performing a treatments process.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

79.

METHOD FOR FORMING CARBON FILM AND FILM FORMING APPARATUS

      
Numéro d'application 18217685
Statut En instance
Date de dépôt 2023-07-03
Date de la première publication 2024-01-11
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Susa, Yoshio
  • Sugiura, Hirotsugu
  • Kikuchi, Yoshiyuki

Abrégé

A method for forming a carbon film on inner wall surfaces of a plurality of trenches which are formed on a substrate to be processed includes a depositing step of depositing the carbon film on the inner wall surfaces of the trenches of the substrate to be processed by supplying a mixed gas containing a carbon precursor gas and a carrier gas and applying a high frequency voltage to the mixed gas to generate plasma, an interval step of stopping the supply of the carbon precursor gas and the application of the high frequency voltage while continuing the supply of the carrier gas, and an etching step of etching a part of the carbon film by continuing to supply the carrier gas and applying a high frequency voltage to the carrier gas to generate plasma, wherein the above steps are repeated in the above order.

Classes IPC  ?

  • C23C 16/26 - Dépôt uniquement de carbone
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • H01L 21/311 - Gravure des couches isolantes

80.

SEMICONDUCTOR MANUFACTURING APPARATUS INCLUDING NON-CONTACT COMMUNICATION DEVICES

      
Numéro d'application 18346670
Statut En instance
Date de dépôt 2023-07-03
Date de la première publication 2024-01-11
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Watanabe, Kohei

Abrégé

Examples of a semiconductor manufacturing apparatus includes a first housing, at least one first communication device fixed to the first housing and having a first non-contact communication surface exposed from the first housing, a second housing fixed to the first housing, and at least one second communication device fixed to the second housing and having a second non-contact communication surface exposed from the second housing. The first non-contact communication surface and the second non-contact communication surface oppose each other without contacting each other.

Classes IPC  ?

  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

81.

GAS-PHASE REACTOR SYSTEM-WITH A REACTION CHAMBER, A SOLID PRECURSOR SOURCE VESSEL, A GAS DISTRIBUTION SYSTEM, AND A FLANGE ASSEMBLY

      
Numéro d'application 18372803
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-01-11
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Tolle, John
  • Margetis, Joseph P.

Abrégé

Gas-phase reactor systems and methods suitable for use with precursors that are solid phase at room temperature and pressure are disclosed. The systems and methods as described herein can be used to, for example, form amorphous, polycrystalline, or epitaxial layers (e.g., one or more doped semiconductor layers) on a surface of a substrate.

Classes IPC  ?

  • C30B 25/14 - Moyens d'introduction et d'évacuation des gaz; Modification du courant des gaz réactifs
  • C30B 25/16 - Commande ou régulation
  • C30B 25/18 - Croissance d'une couche épitaxiale caractérisée par le substrat
  • C30B 25/08 - Enceintes de réaction; Emploi d'un matériau spécifié à cet effet
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

82.

Method for treatment of deposition reactor

      
Numéro d'application 17744902
Numéro de brevet 11967488
Statut Délivré - en vigueur
Date de dépôt 2022-05-16
Date de la première publication 2024-01-11
Date d'octroi 2024-04-23
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Haukka, Suvi
  • Shero, Eric James
  • Alokozai, Fred
  • Li, Dong
  • Winkler, Jereld Lee
  • Chen, Xichong

Abrégé

A system and method for treating a deposition reactor are disclosed. The system and method remove or mitigate formation of residue in a gas-phase reactor used to deposit doped metal films, such as aluminum-doped titanium carbide films or aluminum-doped tantalum carbide films. The method includes a step of exposing a reaction chamber to a treatment reactant that mitigates formation of species that lead to residue formation.

Classes IPC  ?

  • C23C 16/32 - Carbures
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

83.

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING DEVICE

      
Numéro d'application 18214255
Statut En instance
Date de dépôt 2023-06-26
Date de la première publication 2024-01-04
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Kim, Haein
  • Lee, Hakjoo
  • Kim, Kikang
  • Jeong, Yongwoong
  • Kim, Youngmin

Abrégé

A substrate processing method capable of preventing a damage to a reactor and a lower film includes: supplying a substrate having a pattern structure; forming a layer on the pattern structure; generating active species by applying plasma on the substrate; and selectively etching a layer on the pattern structure generated by the active species by performing isotropic etching on the layer, wherein the applying of the plasma includes: increasing a density of the active species; and increasing a mobility of the active species.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

84.

DUAL MODEL-BASED TEMPERATURE CONTROLLER

      
Numéro d'application 18344543
Statut En instance
Date de dépôt 2023-06-29
Date de la première publication 2024-01-04
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Zhenduo, Liu
  • Oosterlaken, Theodorus G.M.

Abrégé

Temperature control systems and methods for a thermal reactor having a process chamber, the control system comprising a first control loop comprising a first Model-Based Predictive Controller (MBPC) and a second control loop comprising a second MBPC, wherein the first and second MBPC are provided with predictive models representing the behavior of the thermal reactor.

Classes IPC  ?

  • G05D 23/19 - Commande de la température caractérisée par l'utilisation de moyens électriques
  • H05B 1/02 - Dispositions de commutation automatique spécialement adaptées aux appareils de chauffage

85.

SEMICONDUCTOR PROCESSING APPARATUS FOR PROCESSING A PLURALITY OF SUBSTRATES WITH CROSS FLOW

      
Numéro d'application 18346608
Statut En instance
Date de dépôt 2023-07-03
Date de la première publication 2024-01-04
Propriétaire ASM IP Holding, B.V (Pays‑Bas)
Inventeur(s)
  • Raaijmakers, Ivo
  • Oosterlaken, Theodorus G.M.

Abrégé

A semiconductor processing apparatus for processing a plurality of substrates is provided. In a preferred embodiment, the apparatus comprises a reaction chamber. The reaction chamber comprises a reaction space for receiving a substrate boat constructed and arranged for holding the plurality of substrates. The rection chamber further comprise a gas distributor for providing gas into the reaction space and a gas exhaust for removing gas from the reaction space. The boat, the gas distributor and the gas exhaust are constructed and arranged to at least partially enclose the substrates in the boat and to form a gas flow path, in use, from the gas distributor to the gas exhaust, wherein the gas flow path is substantially being directed in between the substrates.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

86.

METHOD OF FORMING P-TYPE DOPED SILICON-GERMANIUM LAYERS AND SYSTEM FOR FORMING SAME

      
Numéro d'application 18214656
Statut En instance
Date de dépôt 2023-06-27
Date de la première publication 2024-01-04
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Barbosa Lima, Lucas Petersen
  • Dezelah, Charles
  • Khazaka, Rami
  • Xie, Qi
  • Alessio Verni, Giuseppe

Abrégé

Methods and systems for forming a p-type doped silicon germanium layer. The p-type doped silicon germanium layer can include silicon, germanium, gallium, and, in at least some cases, indium.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C30B 29/52 - Alliages
  • C30B 25/02 - Croissance d'une couche épitaxiale

87.

METHOD OF FORMING SILICON WITHIN A GAP ON A SURFACE OF A SUBSTRATE

      
Numéro d'application 18212827
Statut En instance
Date de dépôt 2023-06-22
Date de la première publication 2023-12-28
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Elleuch, Omar
  • James, Robinson
  • Westrom, Peter
  • Miskin, Caleb
  • Demos, Alexandros

Abrégé

A method of forming silicon within a gap on a surface of a substrate. The method includes use of two or more pyrometers to measure temperatures at two or more positions on a substrate and/or a substrate support and a plurality of heaters that can be divided into zones of heaters, wherein the heaters or zones of heaters can be independently controlled based on the measured temperatures and desired temperature profiles.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs

88.

METHOD OF FORMING A PHOTORESIST UNDERLAYER AND STRUCTURE INCLUDING SAME

      
Numéro d'application 18236051
Statut En instance
Date de dépôt 2023-08-21
Date de la première publication 2023-12-28
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Zyulkov, Ivan
  • De Roest, David Kurt
  • Tomczak, Yoann
  • Givens, Michael Eugene
  • Sippola, Perttu
  • Ivanova, Tatiana
  • Liu, Zecheng
  • Kim, Bokheon
  • Piumi, Daniele

Abrégé

Methods of forming structures including a photoresist underlayer and structures including the photoresist underlayer are disclosed. Exemplary methods include forming the photoresist underlayer that includes metal. Techniques for treating a surface of the photoresist underlayer and/or depositing an additional layer overlying the photoresist underlayer are also disclosed.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3105 - Post-traitement

89.

SUBSTRATE PROCESSING APPARATUS

      
Numéro d'application 18367200
Statut En instance
Date de dépôt 2023-09-12
Date de la première publication 2023-12-28
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Lee, Changmin

Abrégé

A substrate processing apparatus capable of locally controlling a plasma intensity and improving thin film properties and thickness uniformity includes: a power supply unit, a processing unit electrically connected to the power supply unit, and a substrate support unit below the processing unit, wherein the substrate support unit includes a first ground electrode and a second ground electrode.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

90.

ARTICLE WITH A PROTECTIVE COATING

      
Numéro d'application 18208421
Statut En instance
Date de dépôt 2023-06-12
Date de la première publication 2023-12-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Aguilar Santillan, Joaquin
  • Gao, Hong
  • Kuttath, Shanker

Abrégé

Various embodiment of the present technology may provide an article formed from a ceramic material. The article may further include a protective coating overlying one or more surfaces of the article. The protective coating may include a first layer including aluminum and magnesium and a second layer including alumina, or alumina and magnesium oxide.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

91.

SELECTIVE DEPOSITION OF MATERIAL COMPRISING NOBLE METAL

      
Numéro d'application 18209519
Statut En instance
Date de dépôt 2023-06-14
Date de la première publication 2023-12-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Ritala, Mikko
  • Zhang, Chao
  • Tois, Eva

Abrégé

The current disclosure relates to methods and apparatuses for the manufacture of semiconductor devices. In the disclosure, a material comprising noble metal is selectively deposited on a substrate by a cyclic deposition process. The deposition method comprises providing a substrate in a reaction chamber, wherein the substrate comprises a first surface comprising a first material, and a second surface comprising a second material. A noble metal precursor comprising a noble metal β-diketonate compound is provided in the reaction chamber in vapor phase and a reactant is provided in the reaction chamber in vapor phase to deposit a material comprising noble metal on the first surface relative to the second surface.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques

92.

METHOD OF FORMING SiOCN LAYER

      
Numéro d'application 18209640
Statut En instance
Date de dépôt 2023-06-14
Date de la première publication 2023-12-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Yoshida, Takashi

Abrégé

A method of forming a silicon oxycarbonitride layer on a substrate is disclosed. An exemplary method includes forming a layer comprising SiOC and forming a layer comprising SiCN, which together form the silicon oxycarbonitride layer.

Classes IPC  ?

  • C23C 16/36 - Carbo-nitrures
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/32 - Carbures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques

93.

SUBSTRATE PROCESSING APPARATUS INCLUDING IMPROVED EXHAUST STRUCTURE

      
Numéro d'application 18209659
Statut En instance
Date de dépôt 2023-06-14
Date de la première publication 2023-12-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Liao, Wei Chen

Abrégé

A substrate processing apparatus unit is disclosed. Exemplary substrate processing apparatus includes a reaction chamber provided with a reaction space; a susceptor disposed in the reaction chamber and configured to support a substrate, wherein the susceptor is configured to be vertically movable between a process position and a transfer position; a shower plate provided above the susceptor and configured to provide the reaction space with a gas; a gas exhaust unit configured to exhaust the gas from the reaction chamber, comprising: an exhaust duct surrounds the shower plate and provided with a main duct; a first flow control ring that surrounds the susceptor with a space when the susceptor is in the process position; and a second flow control ring surrounds the first flow control ring; wherein a first exhaust channel is formed between the exhaust duct and the first flow control ring; wherein a second exhaust channel is formed between the first flow control ring and the second control ring, and the second exhaust channel is fluidly connected to the main duct and an area below the susceptor.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

94.

REACTION CHAMBER FOR PROCESSING SEMICONDUCTOR SUBSTRATES WITH GAS FLOW CONTROL CAPABILITY

      
Numéro d'application 18210739
Statut En instance
Date de dépôt 2023-06-16
Date de la première publication 2023-12-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Thottappayil, Arun
  • Jeong, Dongrak

Abrégé

Apparatus, particularly reaction chamber for processing semiconductor substrate is presented. A reaction chamber with gas exit flow control capability comprises an upper body, a substrate supporting part, a shower head for letting in gas which is used for processing the substrate, a lower body comprising duct, wherein the duct has a multiple of duct holes and a flow control liner configured to surround the duct and the flow control liner has a multiple of flow holes, wherein the duct holes and flow holes are used to control the exit flow of the gas used for substrate processing, and the flow control liner may rotate around so that the duct holes and the flow holes can be overlapped. The duct can have scribe mark for various hole sizes and shapes.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

95.

CHEMICAL SOURCE VESSEL WITH DIP TUBE

      
Numéro d'application 18242598
Statut En instance
Date de dépôt 2023-09-06
Date de la première publication 2023-12-21
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Yednak, Iii, Andrew Michael
  • Dunn, Todd Robert

Abrégé

A chemical vessel is disclosed comprising a dip tube and a level sensor tube arranged in an elongated counterbore incorporated into a housing of the chemical vessel. The chemical vessel may be configured to allow a pushback routine to take place, whereby a level of liquid in the chemical vessel is reduced to a point that the dip tube is free from liquid inside the dip tube or at the bottom of the dip tube. Once the dip tube is free of the liquid, then a vacuum source may be used to purge vapor within the chemical vessel without the risk of damage to the vacuum source.

Classes IPC  ?

  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • G01F 23/00 - Indication ou mesure du niveau des liquides ou des matériaux solides fluents, p.ex. indication en fonction du volume ou indication au moyen d'un signal d'alarme
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C30B 25/14 - Moyens d'introduction et d'évacuation des gaz; Modification du courant des gaz réactifs
  • C30B 25/16 - Commande ou régulation
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

96.

METHODS AND SYSTEMS FOR FORMING A LAYER COMPRISING SILICON OXIDE

      
Numéro d'application 18334058
Statut En instance
Date de dépôt 2023-06-13
Date de la première publication 2023-12-21
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s)
  • Jeon, Jihee
  • Blanquart, Timothee
  • Pore, Viljami
  • Dezelah, Charles

Abrégé

Disclosed are methods and systems for forming a silicon-containing layer on a substrate. The methods comprise executing a plurality of deposition cycles. A deposition cycle comprises a silicon precursor pulse that comprises exposing the substrate to a silicon precursor. The silicon precursor comprises silicon and one or more of a group 13 element and a group 15 element. A deposition cycle further comprises a plasma pulse that comprises exposing the substrate to a plasma treatment. The plasma treatment comprises generating a plasma.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/40 - Oxydes
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

97.

SUBSTRATE PROCESSING DEVICE

      
Numéro d'application 18239856
Statut En instance
Date de dépôt 2023-08-30
Date de la première publication 2023-12-14
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Roh, Jaemin

Abrégé

A substrate processing device with improved exhaust efficiency and process reproducibility includes: a plurality of reactors; a plurality of exhaust ports in communication with the plurality of reactors and symmetrically arranged with respect to the reactors, respectively; and a plurality of exhaust channels in communication with the plurality of exhaust ports, wherein each exhaust channel includes a plurality of exhaust channels including a first channel extending in the first direction and a second channel extending in a second direction different from the first direction, wherein the plurality of exhaust channels extend through components supporting at least a portion of the plurality of reactors.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

98.

METHODS AND APPARATUSES FOR FLOWABLE GAP FILL

      
Numéro d'application 18205766
Statut En instance
Date de dépôt 2023-06-05
Date de la première publication 2023-12-14
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s)
  • Yoshimoto, Shinya
  • Igarashi, Makoto
  • Borude, Ranjit

Abrégé

In accordance with some embodiments herein, methods and apparatuses for flowable deposition of thin films are described. Some embodiments relate to cyclical processes for gap-fill in which deposition is followed by a microwave plasma curing treatment and repeated. In some embodiments, the deposition and microwave plasma curing treatment are carried out in separate stations. In some embodiments, a second station is heated to a higher temperature than a first station. In some embodiments, a separate module is used for high temperature curing.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/40 - Oxydes
  • C23C 16/56 - Post-traitement
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/511 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à micro-ondes
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

99.

PLASMA IGNITION VALIDATION IN A PLASMA-ASSISTED WAFER PROCESS BACKGROUND

      
Numéro d'application 18333359
Statut En instance
Date de dépôt 2023-06-12
Date de la première publication 2023-12-14
Propriétaire ASM IP Holding, B.V. (Pays‑Bas)
Inventeur(s) Heo, Beomgyu

Abrégé

An apparatus for semiconductor processing may be provided. The apparatus may comprise a reactor chamber configured to process a wafer, a plasma generator to generate a plasma in the reactor chamber, a plasma control board provided with a power control to control the plasma power in the reactor chamber, and a process controller operably connected to the plasma control board and configured to set plasma parameters for the plasma in the reactor chamber. The plasma control board may comprise a plasma power measurement sensor and may be constructed and/or programmed to count both the number of plasma pulses.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

100.

HIGH-TEMPERATURE METHODS OF FORMING PHOTORESIST UNDERLAYER AND SYSTEMS FOR FORMING SAME

      
Numéro d'application 18203873
Statut En instance
Date de dépôt 2023-05-31
Date de la première publication 2023-12-07
Propriétaire ASM IP Holding B.V. (Pays‑Bas)
Inventeur(s) Ran, Fanyong

Abrégé

Methods of forming structures including photoresist underlayers and adhesion layers are disclosed. Exemplary methods include forming an adhesion layer using plasma-enhanced cyclical deposition processes.

Classes IPC  ?

  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/40 - Oxydes
  • C23C 16/32 - Carbures
  1     2     3     ...     17        Prochaine page