Lam Research Corporation

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 5 518 pour Lam Research Corporation et 4 filiales Trier par
Recheche Texte
Affiner par
Type PI
        Brevet 5 319
        Marque 199
Juridiction
        États-Unis 3 455
        International 2 051
        Europe 10
        Canada 2
Propriétaire / Filiale
[Owner] Lam Research Corporation 4 775
Novellus Systems, Inc. 559
LAM Research AG 188
Coventor, Inc. 67
Lam Research AG 1
Date
Nouveautés (dernières 4 semaines) 49
2024 avril (MACJ) 39
2024 mars 32
2024 février 26
2024 janvier 41
Voir plus
Classe IPC
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 1 581
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 1 190
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 805
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 796
H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs 561
Voir plus
Classe NICE
07 - Machines et machines-outils 135
09 - Appareils et instruments scientifiques et électriques 60
42 - Services scientifiques, technologiques et industriels, recherche et conception 18
37 - Services de construction; extraction minière; installation et réparation 8
01 - Produits chimiques destinés à l'industrie, aux sciences ainsi qu'à l'agriculture 5
Voir plus
Statut
En Instance 695
Enregistré / En vigueur 4 823
  1     2     3     ...     56        Prochaine page

1.

DEPOSITING A CARBON HARDMASK BY HIGH POWER PULSED LOW FREQUENCY RF

      
Numéro d'application 18493614
Statut En instance
Date de dépôt 2023-10-23
Date de la première publication 2024-04-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Weimer, Matthew Scott
  • Subramonium, Pramod
  • Puthenkovilakam, Ragesh
  • Bai, Rujun
  • French, David

Abrégé

Methods and related apparatus for depositing an ashable hard mask (AHM) on a substrate include pulsing a low frequency radio frequency component at a high power. Pulsing low frequency power may be used to increase the selectivity or reduce the stress of an AHM. The AHM may then be used to etch features into underlying layers of the substrate.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/517 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant une combinaison de décharges couvertes par plusieurs des groupes
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

2.

APPARATUS FOR DISPENSING A LIQUID

      
Numéro d'application 18274846
Statut En instance
Date de dépôt 2022-02-01
Date de la première publication 2024-04-25
Propriétaire LAM RESEARCH AG (Autriche)
Inventeur(s) Henriks, Karl David

Abrégé

An apparatus for dispensing a liquid comprising: a supply flow path; a pressurised liquid source arranged to supply pressurised liquid to the supply flow path; a junction downstream of the pressurised liquid source, wherein at the junction the supply flow path is branched into a dispense flow path and a return or drain flow path; and a valve or pump in the return or drain flow path downstream of the junction.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

3.

COMPRESSIBLE SEALS WITH REDUCED COMPRESSION REQUIREMENTS

      
Numéro d'application US2023077235
Numéro de publication 2024/086675
Statut Délivré - en vigueur
Date de dépôt 2023-10-18
Date de publication 2024-04-25
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Panchangam, Swajeeth Pilot
  • Nayakawade, Chetan Umaji
  • Linebarger Jr., Nick Ray
  • Shetty, Sushanth Sunilkumar

Abrégé

This disclosure pertains to compressible metal seals that have cross-sectional shapes that include a circular exterior profile and a non-circular interior profile. Such seals may require lower compressive force compared to annular-shaped cross-sectional shapes for the same amount of compression and may also exhibit longer seal path lengths than annular-shaped cross-sectional shapes at equivalent amounts of compressive force.

Classes IPC  ?

  • F16J 15/08 - Joints d'étanchéité entre surfaces immobiles entre elles avec garniture solide comprimée entre les surfaces à joindre exclusivement par garniture métallique

4.

NON-METAL INCORPORATION IN MOLYBDENUM ON DIELECTRIC SURFACES

      
Numéro d'application 18547481
Statut En instance
Date de dépôt 2022-02-18
Date de la première publication 2024-04-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Schloss, Lawrence
  • Collins, Joshua
  • Kennedy, Griffin John
  • Bamnolker, Hanna
  • Lee, Sang-Hyeob
  • Van Cleemput, Patrick
  • Gopinath, Sanjay

Abrégé

Provided herein are low resistance metallization stack structures for 3D-NAND applications and related methods of fabrication. In some embodiments, thin metal oxynitride nucleation layers are deposited on dielectric material followed by deposition of a pure metal conductor using process conditions that increase non-molybdenum component element content at the oxynitride-dielectric interface. Certain embodiments of the methods described below convert less than all of the metal oxynitride nucleation layer to a pure metal layer, further lowering the resistivity.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/14 - Dépôt d'un seul autre élément métallique
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/52 - Commande ou régulation du processus de dépôt

5.

TRIPOLAR ELECTRODE ARRANGEMENT FOR ELECTROSTATIC CHUCKS

      
Numéro d'application 18278276
Statut En instance
Date de dépôt 2022-03-11
Date de la première publication 2024-04-25
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Leeser, Karl Frederick
  • Blank, Richard
  • Hiester, Jacob L.

Abrégé

A system comprises a pedestal and a controller. The pedestal is arranged below a showerhead in a processing chamber and includes at least three electrodes to clamp a substrate to the pedestal during processing. The controller is configured to measure a pedestal-to-showerhead gap and at least one of a magnitude and a direction of a relative tilt between the pedestal and the showerhead by sensing impedances between the at least three electrodes and the showerhead.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

6.

HALOGEN-AND ALIPHATIC-CONTAINING ORGANOTIN PHOTORESISTS AND METHODS THEREOF

      
Numéro d'application 18546879
Statut En instance
Date de dépôt 2022-01-28
Date de la première publication 2024-04-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Weidman, Timothy William
  • Hansen, Eric Calvin
  • Wu, Chenghao

Abrégé

The present disclosure relates to a composition formed with a precursor including a C1-4 haloaliphatic or C1-4 aliphatic group or vinyl group (—CH═CH2) and other unsaturated substituents, as well as methods for forming and employing such compositions. In particular embodiments, the haloaliphatic group is a C1-2 haloalkyl group, which in turn provides a resist film having enhanced radiation absorptivity and/or minimal film shrinkage (e.g., upon radiation exposure and/or post-exposure bake). In other embodiments, the aliphatic group is a C1-2 alkyl or vinyl group and other unsaturated substituents, which can be dry deposited. In non-limiting embodiments, the radiation can include extreme ultraviolet (EUV) or deep ultraviolet (DUV) radiation.

Classes IPC  ?

  • G03F 7/004 - Matériaux photosensibles
  • G03F 7/095 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires ayant plus d'une couche photosensible
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/30 - Dépouillement selon l'image utilisant des moyens liquides
  • G03F 7/36 - Dépouillement selon l'image non couvert par les groupes , p.ex. utilisant un courant gazeux, un plasma

7.

LONG-LIFE EXTENDED TEMPERATURE RANGE EMBEDDED DIODE DESIGN FOR ELECTROSTATIC CHUCK WITH MULTIPLEXED HEATERS ARRAY

      
Numéro d'application 18405595
Statut En instance
Date de dépôt 2024-01-05
Date de la première publication 2024-04-25
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Tian, Siyuan

Abrégé

A substrate support for a plasma chamber includes a base plate arranged along a plane, a first layer of an electrically insulating material arranged on the base plate along the plane, a plurality of heating elements arranged in the first layer along the plane, and a plurality of diodes arranged in respective cavities in the first layer. The plurality of diodes are connected in series to the plurality of heating elements, respectively. Each of the plurality of diodes includes a die of a semiconductor material arranged in a respective one of the cavities. The semiconductor material has a first coefficient of thermal expansion. A first side of the die is arranged on the first layer along the plane. A first terminal of the die is connected to a first electrical contact on the first layer.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

8.

MONOLITHIC ANISOTROPIC SUBSTRATE SUPPORTS

      
Numéro d'application 17769430
Statut En instance
Date de dépôt 2020-10-20
Date de la première publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Hollingsworth, Joel
  • Lingampalli, Ramkishan
  • Leeser, Karl
  • Topping, Stephen
  • Baker, Noah Elliot

Abrégé

A substrate support includes a monolithic anisotropic body, which includes first, second and intermediate layers. The first layer is formed of a first material and disposed therein are RF and clamping electrodes. The second layer is formed of the first material or a second material and disposed therein is a heating element. The intermediate layer is formed of a different material than the first and second layers, such that at least one of: a thermal energy conductivity of the intermediate layer is different than a thermal energy conductivity of at least one of the first or second materials; or an electrical energy conductivity of the intermediate layer is different than an electrical conductivity of at least one of the first or second materials. Either the intermediate layer is disposed between the first and second layers or the second layer is disposed between the first and intermediate layers.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

9.

INHIBITED OXIDE DEPOSITION FOR REFILLING SHALLOW TRENCH ISOLATION

      
Numéro d'application US2023073760
Numéro de publication 2024/081473
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Baker, Jonathan Grant
  • Agarwal, Pulkit
  • Agnew, Douglas Walter
  • Petraglia, Jennifer Leigh
  • Park, Dae-Jin
  • Fellis, Aaron

Abrégé

Examples are disclosed relate to using an inhibitor with a silicon oxide ALD deposition process to refill recesses in STI regions. One example provides a method of processing a substrate. The method comprises depositing an inhibitor on the substrate, wherein a concentration of the inhibitor on a gate structure of the substrate is greater relative to the concentration of the inhibitor on a recessed shallow trench isolation (STI) region of the substrate. The method further comprises depositing a layer of silicon oxide on the substrate, the inhibitor inhibiting growth of the layer of silicon oxide such that the layer of silicon oxide is thicker on the recessed STI region and thinner on the gate structure.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/762 - Régions diélectriques
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

10.

OXYMETHYLENE COPOLYMERS FOR TRANSIENT SURFACE PROTECTION DURING CHEMICAL VAPOR DEPOSITION

      
Numéro d'application US2023034707
Numéro de publication 2024/081174
Statut Délivré - en vigueur
Date de dépôt 2023-10-06
Date de publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Chen, Zhengtao
  • Blachut, Gregory
  • Phillips, Oluwadamilola Sanyaolu
  • Hymes, Diane

Abrégé

The present disclosure relates to methods for protecting semiconductor substrate surfaces by coating the surfaces with a stimulus responsive polymer layer, the stimulus responsive polymer layer composed of copolymers with oxymethylene-containing backbones and hydrophobicity enhancing and/or crystallinity reducing substituents.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/311 - Gravure des couches isolantes
  • C08G 2/20 - Copolymérisation d'aldéhydes ou de cétones avec d'autres aldéhydes ou cétones
  • C08L 59/04 - Copolyoxyméthylènes

11.

BAFFLE FOR PROVIDING UNIFORM PROCESS GAS FLOW ON SUBSTRATE AND AROUND PEDESTAL

      
Numéro d'application US2023034730
Numéro de publication 2024/081183
Statut Délivré - en vigueur
Date de dépôt 2023-10-09
Date de publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Sathish, Karthik Adappa
  • Barnett, Cody
  • Basargi, Mitali Mrigendra
  • Kumar, Ravi

Abrégé

A substrate processing chamber includes a pedestal and a baffle. The pedestal is arranged in the substrate processing chamber. The pedestal includes a base portion and a stem portion. The base portion is greater in diameter than the stem portion. The baffle is arranged around the pedestal to direct flow of gases supplied to the substrate processing chamber to flow around the pedestal from a periphery of the base portion of the pedestal towards the stem portion of the pedestal and towards one or more exhaust ports of the substrate processing chamber.

Classes IPC  ?

  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

12.

ELECTRODEPOSITION SYSTEM WITH ION-EXCHANGE MEMBRANE IRRIGATION

      
Numéro d'application US2023075229
Numéro de publication 2024/081507
Statut Délivré - en vigueur
Date de dépôt 2023-09-27
Date de publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Wilmot, Frederick Dean
  • Sigamani, Nirmal Shankar
  • Feng, Jingbin

Abrégé

Examples are disclosed that relate to irrigating an ion exchange membrane in an electrodeposition system. In one example, the electrodeposition system comprises a fluid distribution system comprising a membrane assembly that comprises a membrane frame configured to support an ion exchange membrane that defines a boundary of a cathode chamber. The fluid distribution system further comprises a high resistance virtual anode (HRVA) positioned between the membrane frame and a substrate holder, a catholyte circulation loop operable to flow catholyte in a first direction across a surface of the HRVA facing the substrate holder and a plurality of flow barriers extending between the membrane frame and the HRVA along a second direction, transverse to the first direction. Irrigation conduits are positioned between adjacent flow barriers, each irrigation conduit configured to receive catholyte from the catholyte circulation loop and to direct catholyte towards the membrane assembly via a plurality of emitters.

Classes IPC  ?

  • C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
  • C25D 17/10 - Electrodes
  • C25D 5/08 - Dépôt avec déplacement de l'électrolyte, p.ex. dépôt par projection de l'électrolyte
  • C25D 7/12 - Semi-conducteurs

13.

CLEANING A CHEMICAL VAPOR DEPOSITION CHAMBER

      
Numéro d'application US2023075660
Numéro de publication 2024/081516
Statut Délivré - en vigueur
Date de dépôt 2023-10-01
Date de publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Cao, Chezheng
  • Zheng, Huifeng
  • Hong, Tu
  • Sanchez, Ivan Alexander
  • Ji, Chunhai
  • Li, Ming

Abrégé

A method is provided for cleaning deposition residue from a processing chamber of a processing tool. The method comprises introducing a reactive cleaning species generated by a remote plasma into the processing chamber. An in-situ plasma is formed at a processing station within the processing chamber while introducing the reactive cleaning species generated by the remote plasma into the processing chamber.

Classes IPC  ?

  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt

14.

PURGING TOXIC AND CORROSIVE MATERIAL FROM SUBSTRATE PROCESSING CHAMBERS

      
Numéro d'application US2023034417
Numéro de publication 2024/081135
Statut Délivré - en vigueur
Date de dépôt 2023-10-04
Date de publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Parmar, Ravi
  • Nuisud, Soonton
  • Pyle, Jonathan
  • Jonathans, Raymond
  • Deen, Raees Amer
  • Rachakonda, Sai
  • Chouhan, Nishant
  • Park, Jun-Hwa
  • Tokairin, Shawn
  • Rumer, Michael
  • Ko, Eunsuk
  • Boochakravarthy, Ashwin Agathya

Abrégé

A system for performing preventive maintenance of a processing chamber of a substrate processing system using atmospheric air comprises a first plurality of valves and manifolds, a second plurality of valves and manifolds, and a controller. The first plurality of valves and manifolds are located downstream from the processing chamber. The second plurality of valves and manifolds are located upstream from the processing chamber. The controller is configured to perform the preventive maintenance by: initially purging the processing chamber and the first plurality of valves and manifolds while maintaining pressure in the processing chamber between a first pressure and a second pressure that is greater than the first pressure and less than atmospheric pressure, and subsequently purging the processing chamber and the second plurality of valves and manifolds while maintaining pressure in the processing chamber between the first pressure and a third pressure that is less than the first pressure.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

15.

DEPOSITION OF METAL-CONTAINING FILMS

      
Numéro d'application US2023034858
Numéro de publication 2024/081263
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Mandia, David Joseph
  • Agnew, Douglas Walter
  • Smith, Joel David
  • Griffiths, Matthew Bertram Edward
  • Richey, Nathaniel Elba
  • Fox, Alexander Ray
  • Blakeney, Kyle Jordan
  • Hausmann, Dennis M.
  • Na, Jeong-Seok
  • Lai, Chiukin Steven
  • Kanakasabapathy, Sivananda Krishnan

Abrégé

in situin situ generation of an iodine-bond containing metal species with an iodine-containing reagent and a metal-containing precursor followed by reduction at a process temperature below 400ºC. In particular, the film can be a molybdenum-containing film. The methods may also include simultaneous introduction of the reagent and the precursor or an optional pretreatment with a passivation gas. Also provided are methods for depositing molybdenum-containing films on semiconductor using low valent molybdenum-containing precursors. The low valent molybdenum precursors of one or two molybdenum atoms may have at least one ligand which is an isocyanohaloalkyl, an allyl, an aryl, a tertiary organophosphino or an alkoxide group.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques
  • C07F 11/00 - Composés contenant des éléments des groupes 6 ou 16 de la classification périodique
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

16.

DEVICE FOR CONVEYING A WAFER-SHAPED ARTICLE

      
Numéro d'application EP2023078042
Numéro de publication 2024/079116
Statut Délivré - en vigueur
Date de dépôt 2023-10-10
Date de publication 2024-04-18
Propriétaire LAM RESEARCH AG (Autriche)
Inventeur(s) Haberle, Roland

Abrégé

Device for conveying a wafer-shaped article, comprising: a support having a support surface; one or more gas channels in the support having one or more outlets in the support surface; and one or more grooves in the support surface for receiving at least part of an end effector for supporting a wafer-shaped article.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail

17.

POLYMERIC COATING FOR SEMICONDUCTOR PROCESSING CHAMBER COMPONENTS

      
Numéro d'application 18546174
Statut En instance
Date de dépôt 2022-02-25
Date de la première publication 2024-04-11
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Song, Yuanping
  • Pham, Johnny
  • Song, Yiwei
  • Xu, Lin
  • Kimball, Christopher

Abrégé

A component in a semiconductor processing chamber is provided. An electrically conductive semiconductor or metal body has a CTE of less than 10.0×10−6/K. An intermediate layer is disposed over at least one surface of the body, the intermediate layer comprising a fluoropolymer. A perfluoroalkoxy alkane (PFA) layer is disposed over the intermediate layer to form the component.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • B05D 1/02 - Procédés pour appliquer des liquides ou d'autres matériaux fluides aux surfaces réalisés par pulvérisation

18.

SHOWERHEAD FOR DIFFUSION BONDED, MULTI-ZONE GAS DISPERSION

      
Numéro d'application US2023033786
Numéro de publication 2024/076477
Statut Délivré - en vigueur
Date de dépôt 2023-09-27
Date de publication 2024-04-11
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Lind, Gary B.
  • Chandrashekar, Anand
  • Donnelly, Sean M.
  • Kho, Leonard
  • Garg, Atul Kumar
  • Hosur Shivalinge Gowda, Arun Kumar

Abrégé

A showerhead for a substrate processing chamber configured to perform bulk deposition includes a faceplate, a backplate, and a faceplate. The faceplate defines a first plenum corresponding to center and middles zones and a second plenum corresponding to an edge zone. The faceplate includes a first plurality of holes distributed throughout the center zone and the middle zone and a second plurality of holes distributed throughout the edge zone. The middle plate is disposed between the faceplate and the backplate. The faceplate is configured to receive a first gas mixture supplied to the center zone via a center inlet, receive a second gas mixture supplied to the middle zone via a middle inlet, blend the first gas mixture and the second gas mixture within the first plenum, and receive a third gas mixture supplied to the edge zone via an edge inlet.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

19.

SHOWERHEAD GAS INLET MIXER

      
Numéro d'application US2023033788
Numéro de publication 2024/076478
Statut Délivré - en vigueur
Date de dépôt 2023-09-27
Date de publication 2024-04-11
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Lind, Gary B.
  • Kho, Leonard
  • Garg, Atul Kumar
  • Hosur Shivalinge Gowda, Arun Kumar
  • Leeser, Karl Frederick

Abrégé

A showerhead for a substrate processing chamber includes a head portion configured to receive a gas mixture and a stem portion coupled to the head portion. A first plenum is defined within the head portion and the gas mixture flows into the plenum and from the plenum into the substrate processing chamber via holes arranged in a lower surface of the head portion. The stem portion is configured to supply the gas mixture to the head portion through a central bore. A mixing chamber is arranged on the stem portion. The mixing chamber is configured to receive a first gas supplied from a first mixer inlet and a second gas supplied from a second mixer inlet, mix the first gas and the second gas into the gas mixture, and direct the gas mixture into an upper end of the central bore to be supplied downward into the head portion.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

20.

ANNULAR PUMPING FOR CHAMBER

      
Numéro d'application US2023033794
Numéro de publication 2024/076480
Statut Délivré - en vigueur
Date de dépôt 2023-09-27
Date de publication 2024-04-11
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Lind, Gary B.
  • Kho, Leonard
  • Leeser, Karl Frederick
  • Garg, Atul Kumar
  • Kondi, Sushanth
  • Hosur Shivalinge Gowda, Arun Kumar

Abrégé

A processing chamber assembly for a substrate processing system includes a first section, a second section, and a third section. The first section defines a first volume configured to enclose a pedestal arranged within the processing chamber assembly. The first volume includes an upper portion, a middle portion, and a lower portion. The second section is disposed below the first section and defines the lower portion of the first volume. An upper surface of the second section defines a second volume radially outside of the first volume. A lower surface of the second section defines a third volume radially outside of the first volume. The third section is disposed below the second section and defines a main pumping port aligned with the third volume. First channels connect the upper portion of the first volume to the second volume. Second channels connect the second volume to the third volume.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt

21.

Multiple State Pulsing for High Aspect Ratio Etch

      
Numéro d'application 18011505
Statut En instance
Date de dépôt 2022-06-16
Date de la première publication 2024-04-11
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Joi, Aniruddha
  • Dole, Nikhil
  • Wong, Merrett
  • Hudson, Eric
  • Sheth, Jay

Abrégé

A method for performing an etch process on a substrate includes applying a bias signal and a source signal to an electrode of a plasma processing system. The bias signal and the source signal are pulsed RF signals that together define a repeated pulsed RF cycle, wherein each pulsed RF cycle sequentially includes a first state, a second state, a third state, and a fourth state. The power level of the bias signal in the first state is greater than in the third state, which is greater than in the second state, which is greater than in the fourth state. The power level of the source signal in the first state is greater than in the third state, which is greater than in the second state, which is greater than in the fourth state.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

22.

SYSTEMS AND METHODS FOR ETCHING A HIGH ASPECT RATIO STRUCTURE

      
Numéro d'application 18011837
Statut En instance
Date de dépôt 2021-12-22
Date de la première publication 2024-04-11
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Dole, Nikhil
  • Yanagawa, Takumi
  • Hudson, Eric A.
  • Wong, Merrett
  • Joi, Aniruddha

Abrégé

A method for etching a stack is described. The method includes etching a first nitrogen-containing layer of the stack by applying a non-metal gas and discontinuing the application of the non-metal gas upon determining that a first oxide layer is reached. The first oxide layer is under the first nitrogen-containing layer. The method further includes etching the first oxide layer by applying a metal-containing gas. The application of the metal-containing gas is discontinued upon determining that a second nitrogen-containing layer will be reached. The second nitrogen-containing layer is situated under the first oxide layer. The method includes etching the second nitrogen-containing layer by applying the non-metal gas.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

23.

HYDROGEN REDUCTION OF SILICON NITRIDE PASSIVATION LAYER BY FORMATION AND TREATMENT OF PASSIVATION SUB-LAYERS

      
Numéro d'application US2023033258
Numéro de publication 2024/076467
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-11
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Pak, Chongin
  • Qi, Chengzhu

Abrégé

A method for developing a passivation film on a substrate with less than 10 atomic% of hydrogen includes providing the substrate within a processing station of a substrate processing system. A resultant passivation film is formed with less than 10 atomic% of hydrogen on the substrate by performing the following steps of: depositing a passivation film sub-layer on the substrate, where the passivation film sub-layer lays on a semiconductor device layer or directly on a previously deposited passivation film sub-layer; and after depositing the passivation film sub-layer, performing a post plasma treatment to the passivation film sub-layer with at least one of nitrogen and argon to reduce hydrogen content within the passivation film sub-layer.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3105 - Post-traitement
  • H01L 33/44 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails caractérisés par les revêtements, p.ex. couche de passivation ou revêtement antireflet
  • H01L 33/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails
  • C23C 16/56 - Post-traitement
  • C23C 16/34 - Nitrures

24.

ADJUSTABLE PEDESTAL

      
Numéro d'application US2023033791
Numéro de publication 2024/076479
Statut Délivré - en vigueur
Date de dépôt 2023-09-27
Date de publication 2024-04-11
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Lind, Gary B.
  • Kho, Leonard
  • Eib, Andrew Paul
  • Gulabal, Vinayakaraddy

Abrégé

A pedestal assembly for a substrate processing system configured to perform bulk deposition on a substrate is configured to be raised and lowered. The pedestal assembly includes a stem portion, a baseplate portion disposed on the stem portion, and a pumping ring assembly. The baseplate portion is configured to support the substrate. The pumping ring assembly is disposed around the baseplate portion and includes a lower pumping ring and an upper pumping ring disposed above the lower pumping ring. The pumping ring assembly is configured to define an annular volume radially outside of the pumping ring assembly such that the pumping ring assembly separates the annular volume from a volume defined below the baseplate portion of the pedestal assembly.

Classes IPC  ?

  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

25.

IMPROVING CHEMISTRY UTILIZATION BY INCREASING PRESSURE DURING SUBSTRATE PROCESSING

      
Numéro d'application US2023034381
Numéro de publication 2024/076576
Statut Délivré - en vigueur
Date de dépôt 2023-10-03
Date de publication 2024-04-11
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Richey, Nathaniel Elba
  • Bhimarasetti, Gopinath

Abrégé

A substrate processing system comprises a processing chamber comprising a pedestal configured to support a substrate. The processing chamber comprises a showerhead configured to supply precursors during dose steps and a purge gas during purge steps of an atomic layer deposition (ALD) process to process the substrate. The dose steps and the purge steps comprise a sequence of a dose step followed by a subsequent purge step. The substrate processing system comprises a throttle valve connected to the processing chamber and a vacuum pump connected to the throttle valve. The substrate processing system comprises a controller configured to control the vacuum pump, open the throttle valve during the purge steps, and close the throttle valve during at least a portion of the dose steps to increase pressure in the processing chamber during at least the portion of the dose steps of the ALD process.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

26.

DRY CHAMBER CLEAN USING THERMAL AND PLASMA PROCESSES

      
Numéro d'application US2023034545
Numéro de publication 2024/076679
Statut Délivré - en vigueur
Date de dépôt 2023-10-05
Date de publication 2024-04-11
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Volosskiy, Boris
  • Kc, Shambhu
  • Wang, Chen
  • Lushington, Andrew Pratheep
  • Myers, Michael Thomas
  • Weidman, Timothy William
  • Tucker, Jeremy Todd
  • Peter, Daniel
  • Tan, Samantha S.H.
  • Hubacek, Jerome S.
  • Jensen, Alan J.
  • Ramalingam, Jothilingam
  • Wise, Richard
  • Stevens, Jason
  • Ong, Seng
  • Labib, Shahd Hassan
  • Yamaguchi, Yoko

Abrégé

A metal-containing photoresist film may be deposited on a semiconductor substrate. Unintended metal-containing material may form on internal surfaces of a process chamber during deposition, bevel and backside cleaning, exposure, baking, development, etch, or other photolithography operations. A dry chamber clean may remove some of the unintended metal-containing material by exposure to plasma. A dry chamber clean may remove some of the unintended metal-containing material and modify some of the unintended metal-containing material by exposure to an etch gas at an elevated temperature without striking a plasma. The dry chamber clean may remove the modified metal-containing material using plasma having a chemistry configured to form volatile products of the modified metal-containing material. In some embodiments, the plasma includes a halide-containing plasma, hydrogen-containing plasma, hydrocarbon-containing plasma, inert gas-containing plasma, or mixtures thereof.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

27.

MATCHING PRE-PROCESSING AND POST-PROCESSING SUBSTRATE SAMPLES

      
Numéro d'application 18262145
Statut En instance
Date de dépôt 2022-01-19
Date de la première publication 2024-04-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Lu, Yu
  • Jin, Yansha
  • Tan, Zhongkui
  • Tetiker, Mehmet Derya

Abrégé

Various embodiments herein relate to systems, methods, and media for matching pre-processing and post-processing substrate samples. In some embodiments, a computer program product for matching pre-processing and post-processing substrate samples is provided, the computer program product comprising a non-transitory computer-readable on which is provided computer-executable instructions for: receiving a plurality of samples associated with a first set of dimensions characterizing a pre-processed substrate and a plurality of samples associated with a second set of dimensions characterizing a post-processed substrate; receiving an identification of one of the pre-processed dimensions and one of the post-processed dimensions that are to be matched; generating a first probability distribution of samples for the identified pre-processed dimension and a second probability distribution of samples for the identified post-processed dimension; and matching samples of the identified pre-processed dimension to samples of the identified post-processed dimension based on the first probability distribution and the second probability distribution.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06T 7/33 - Détermination des paramètres de transformation pour l'alignement des images, c. à d. recalage des images utilisant des procédés basés sur les caractéristiques
  • G06T 7/35 - Détermination des paramètres de transformation pour l'alignement des images, c. à d. recalage des images utilisant des procédés statistiques
  • G06T 7/62 - Analyse des attributs géométriques de la superficie, du périmètre, du diamètre ou du volume

28.

Showerhead Faceplate Having Flow Apertures Configured for Hollow Cathode Discharge Suppression

      
Numéro d'application 18529576
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-04-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Selep, Michael John
  • Breiling, Patrick G.
  • Leeser, Karl Frederick
  • Thomas, Timothy Scott
  • Kamp, David William
  • Donnelly, Sean M.

Abrégé

A faceplate of a showerhead has a bottom side that faces a plasma generation region and a top side that faces a plenum into which a process gas is supplied during operation of a substrate processing system. The faceplate includes apertures formed through the bottom side and openings formed through the top side. Each of the apertures is formed to extend through a portion of an overall thickness of the faceplate to intersect with at least one of the openings to form a corresponding flow path for process gas through the faceplate. Each of the apertures has a cross-section that has a hollow cathode discharge suppression dimension in at least one direction. Each of the openings has a cross-section that has a smallest cross-sectional dimension that is greater than the hollow cathode discharge suppression dimension.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

29.

REMOVING METAL CONTAMINATION FROM SURFACES OF A PROCESSING CHAMBER

      
Numéro d'application 18534027
Statut En instance
Date de dépôt 2023-12-08
Date de la première publication 2024-04-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Yu, Jengyi
  • Tan, Samantha Siamhwa
  • Heo, Seongjun
  • Yuan, Ge
  • Kanakasabapathy, Siva Krishnan

Abrégé

A method for cleaning surfaces of a substrate processing chamber includes a) supplying a first gas selected from a group consisting of silicon tetrachloride (SiCl4), carbon tetrachloride (CCl4), a hydrocarbon (CxHy where x and y are integers) and molecular chlorine (Cl2), boron trichloride (BCl3), and thionyl chloride (SOCl2); b) striking plasma in the substrate processing chamber to etch the surfaces of the substrate processing chamber; c) extinguishing the plasma and evacuating the substrate processing chamber; d) supplying a second gas including fluorine species; e) striking plasma in the substrate processing chamber to etch the surfaces of the substrate processing chamber; and f) extinguishing the plasma and evacuating the substrate processing chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

30.

MULTI-PLATE ELECTROSTATIC CHUCKS WITH CERAMIC BASEPLATES

      
Numéro d'application 18534182
Statut En instance
Date de dépôt 2023-12-08
Date de la première publication 2024-04-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Wang, Feng
  • Gaff, Keith
  • Kimball, Christopher

Abrégé

An electrostatic chuck for a substrate processing system is provided. The electrostatic chuck includes: a top plate configured to electrostatically clamp to a substrate and formed of ceramic; an intermediate layer disposed below the top plate; and a baseplate disposed below the intermediate layer and formed of ceramic. The intermediate layer bonds the top plate to the baseplate.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

31.

LAYERED METAL OXIDE-SILICON OXIDE FILMS

      
Numéro d'application US2023073490
Numéro de publication 2024/073220
Statut Délivré - en vigueur
Date de dépôt 2023-09-05
Date de publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Agarwal, Pulkit
  • Liu, Pei-Chi
  • Kumar, Ravi
  • Petraglia, Jennifer Leigh
  • Srinivasan, Easwar
  • Van Schravendijk, Bart J.

Abrégé

Examples are disclosed that relate to layered metal oxide films. One example provides a method of forming a patterning structure. The method comprises performing one or more layered film deposition cycles to form a layered film comprising a metal oxide. A layered film deposition cycle of the one or more layered deposition cycles comprises a metal oxide deposition subcycle and a silicon oxide deposition cycle. The metal oxide deposition subcycle comprises exposing the substrate to a metal-containing precursor and oxidizing metal-containing precursor adsorbed to the substrate. The silicon oxide deposition subcycle comprising exposing a substrate to a silicon-containing precursor and oxidizing silicon-containing precursor adsorbed to the substrate. The method further comprises etching one or more regions of the layered film to form the patterning structure.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/56 - Post-traitement
  • C23C 16/40 - Oxydes

32.

GENERATION OF SYNTHETIC SEMICONDUCTOR IMAGES

      
Numéro d'application US2023075014
Numéro de publication 2024/073344
Statut Délivré - en vigueur
Date de dépôt 2023-09-25
Date de publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Lee, Yan Kang
  • Agusanto, Kusuma
  • Gowda, Shiva Prasad Mare
  • Alden, Emily Ann

Abrégé

Methods, systems, and media for generating synthetic semiconductor image data are provided. In some embodiments, a method comprises generating a set of synthetic segmented images, each synthetic segmented image of the set of synthetic segmented images representing a segmented semiconductor metrology image. The method may comprise generating, using a first trained GAN, a set of virtual images, each virtual image corresponding to one of the synthetic segmented images in the set of synthetic segmented images. The method may comprise constructing a training set comprising a plurality of training samples, each training sample comprising a synthetic segmented image from the set of synthetics segmented images and a corresponding virtual image from the set of virtual images, wherein the training set is usable to train a downstream model configured to model semiconductor fabrication processes.

Classes IPC  ?

33.

POST ETCH PLASMA TREATMENT FOR REDUCING SIDEWALL CONTAMINANTS AND ROUGHNESS

      
Numéro d'application US2023075090
Numéro de publication 2024/073390
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Agarwal, Daksh
  • Ozel, Taner
  • Mukhopadhyay, Amit
  • Xu, Qing
  • Wong, Merrett

Abrégé

A method of forming features in stack with a silicon containing layer below a mask is provided. Features are etched into the stack. A post etch plasma treatment is provided to reduce surface roughness of sidewalls of the features.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

34.

DOME SHAPED CHAMBER FOR GENERATING IN-SITU CLEANING PLASMA

      
Numéro d'application US2023033198
Numéro de publication 2024/072668
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Hart, Kyle Watt
  • Guo, Tongtong
  • Batzer, Rachel E.
  • Das, Shoudho
  • Madineni, Damodar Aravind
  • Wang, Yuxi
  • Gong, Bo
  • Keshav, Pramod
  • Thilagaraj, Mohan
  • Hohn, Geoffrey

Abrégé

A processing chamber includes a first portion, including a dome, and a second portion. The dome includes a ceramic material and is elliptical in shape. A pedestal to process a substrate is arranged in the second portion. A showerhead is arranged at a base of the dome between the first and second portions. An injector including the ceramic material is mounted on the dome to inject a process gas and a cleaning gas into the dome during substrate processing and cleaning of the processing chamber, respectively. A coil is disposed around a portion of the dome. An RF generator supplies RF power to the coil to generate plasma in the dome during the substrate processing and the cleaning. A controller controls temperatures of the pedestal and the showerhead at respective predetermined temperatures within a predetermined range during the substrate processing and the cleaning.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

35.

OPTIMIZATION OF FABRICATION PROCESSES

      
Numéro d'application US2023033955
Numéro de publication 2024/072948
Statut Délivré - en vigueur
Date de dépôt 2023-09-28
Date de publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Lu, Yu
  • Park, Sae Na
  • Hong, Kah Jun
  • Frey, Lucas Ryan
  • Blum, Zachary Jake
  • Roschewsky, Niklas
  • Ambikapathi, Arulmurugan
  • Liu, Chao
  • Tetiker, Mehmet Derya

Abrégé

Methods, systems, and media for optimization of fabrication processes are provided. In some implementations, a method of automatically optimizing fabrication processes comprises: (a) providing a first set of process parameter values associated with a first experiment to a model representing a fabrication process; (b) characterizing a statistical uncertainty of predictions made by the model; (c) using an acquisition function to select a second set of process parameter values, wherein the acquisition function identifies the second set of process parameters based on both: (i) a difference between predicted wafer characteristics and a target specification; and (ii) the statistical uncertainty; (d) receiving results of the fabrication process performed using the second set of process parameter values; and (e) determining whether the performance of the fabrication process generates a post-processed wafer having wafer characteristics that meet the target specification.

Classes IPC  ?

  • G05B 13/04 - Systèmes de commande adaptatifs, c. à d. systèmes se réglant eux-mêmes automatiquement pour obtenir un rendement optimal suivant un critère prédéterminé électriques impliquant l'usage de modèles ou de simulateurs
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • G05B 23/02 - Test ou contrôle électrique

36.

ATOMIC LAYER DEPOSITION WITH MULTIPLE UNIFORMLY HEATED CHARGE VOLUMES

      
Numéro d'application 18265825
Statut En instance
Date de dépôt 2021-12-14
Date de la première publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Kadam, Nitin
  • Miller, Aaron Blake
  • Patil, Naveen
  • Wongsenakhum, Panya
  • Butail, Gorun
  • Thombare, Shruti

Abrégé

Multiple charge volumes (CVs) are used to supply a reactant and an inert gas at each processing chamber to perform atomic layer deposition (ALD) on substrates. A series of pulses of the reactant can be supplied at a high flow rate from two CVs during a dose step, which extends dose time. The inert gas can be supplied at an equal starting pressure from first and second CVs at first and second purge steps. A heated pulse valve manifold (PVM) minimizes temperature variations of process gases supplied from the PVM to respective processing chamber during ALD. The PVM preheats the process gases before the process gases enter the respective CVs in the PVM. The PVM includes additional supplemental heaters above and below the CVs to maintain the temperature of the process gases within the CVs. The PVM can be rapidly cooled before performing maintenance, which reduces downtime.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat

37.

PEDESTAL WITH AXIALLY SYMMETRIC EDGE PURGE PLENUM

      
Numéro d'application US2023075165
Numéro de publication 2024/073447
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Gage, Christopher
  • Kadam, Nitin

Abrégé

This disclosure pertains to pedestal assemblies for supporting wafers in semiconductor manufacturing tools and chambers. Such pedestal assemblies may have an edge purge system that includes an axially symmetric first plenum volume that includes at least a first radial sub-volume, a first axial sub-volume, and a second radial sub-volume. The first axial sub-volume may be fluidically interposed between the first radial sub-volume and the second radial sub-volume. An optional second plenum volume may be provided as well and may be used to fluidically connect a region of a wafer support that is part of the pedestal assembly with a vacuum port to allow the wafer support to provide vacuum clamping functionality.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

38.

ELECTRONIC COMPONENT COOLING USING COOLING MANIFOLDS FOR PRESSURIZED AIR

      
Numéro d'application US2023075166
Numéro de publication 2024/073448
Statut Délivré - en vigueur
Date de dépôt 2023-09-26
Date de publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Borth, Andrew
  • Donnelly, Sean M.

Abrégé

Cooling systems featuring cooling manifolds with features that conform to the shape of an electrical component to be cooled are provided herein. Such cooling manifolds may be connected with a cooling fluid source, such as a clean dry air source, by flexible and/or rigid flow conduits. The cooling manifolds may have one or more outlet ports that are configured to direct cooling fluid towards one or more surfaces of the electrical component to be cooled so that the cooling fluid directly impinges on one or more surfaces thereof.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H05K 7/20 - Modifications en vue de faciliter la réfrigération, l'aération ou le chauffage

39.

AUTOMATED CONTROL OF PROCESS CHAMBER COMPONENTS

      
Numéro d'application US2023033209
Numéro de publication 2024/072670
Statut Délivré - en vigueur
Date de dépôt 2023-09-20
Date de publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Sawlani, Kapil
  • Franzen, Paul
  • Martin, Patging John Elsworth

Abrégé

Methods, systems, and media for deposition control in a process chamber are provided. In some embodiments, a method comprises (a) obtaining, at a present time, information indicating a status of one or more components of the process chamber during performance of a deposition process on one or more wafers. The method may comprise (b) determining whether adjustments to one or more control components of the process chamber are to be made by providing an input based on the obtained information to a trained machine learning model configured to determine adjustments as an output, wherein the adjustments to the one or more control components cause a change in the deposition process. The method may comprise (c) transmitting instructions to a controller of the process chamber that cause the adjustments to the one or more control components to be implemented.

Classes IPC  ?

  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

40.

PROFILE TWISTING CONTROL IN DIELECTRIC ETCH

      
Numéro d'application 18013493
Statut En instance
Date de dépôt 2022-06-16
Date de la première publication 2024-03-28
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Mackie, Neil Macaraeg
  • Lai, Kevin
  • Li, Chen
  • Zhang, He

Abrégé

A substrate processing apparatus includes a vacuum chamber with upper and lower electrodes and a processing zone for processing a substrate using plasma. The upper electrode includes a surface that is substantially parallel to a surface of the substrate when the substrate is positioned in the chamber. The apparatus includes at least one magnetic field source configured to generate one or more active magnetic fields through the processing zone, and a controller coupled to the at least one magnetic field source and the upper electrode. The controller is configured to apply RF power between the upper and lower electrodes to generate the plasma using a process gas. The controller controls the current through the at least one magnetic field source during the processing of the substrate, where the current is based on a target value corresponding to at least one characteristic of the one or more active magnetic fields.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

41.

HIGH POWER CABLE FOR HEATED COMPONENTS IN RF ENVIRONMENT

      
Numéro d'application 18526215
Statut En instance
Date de dépôt 2023-12-01
Date de la première publication 2024-03-28
Propriétaire Lam Reseach Corporation (USA)
Inventeur(s)
  • Jafarian-Tehrani, Seyed Jafar
  • Finnegan, Kenneth Walter
  • O'Brien, Sean
  • Tong, Benson Q.

Abrégé

A substrate support includes an edge ring, a heater element arranged within the edge ring, a ceramic layer, at least one heating element arranged within the ceramic layer, and a cable configured to provide power from a power source to the heater element and the at least one heating element. The cable includes a first plurality of wires connected to the heater element, a second plurality of wires connected to the at least one heating element, a filter module, and an isolation device connected only to the first plurality of wires between the filter module and the heater element. The first and second pluralities of wires are twisted together within the filter module. The isolation device is configured to compensate for a resonance frequency generated during operation of the heater element and the at least one heating element.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01B 9/00 - Câbles de transport d'énergie
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H03H 7/01 - Réseaux à deux accès sélecteurs de fréquence

42.

PLASMA-EXPOSED PARTS COMPRISING AN ETCH-RESISTANT MATERIAL

      
Numéro d'application US2023072767
Numéro de publication 2024/064494
Statut Délivré - en vigueur
Date de dépôt 2023-08-23
Date de publication 2024-03-28
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Rajagopalan, Mansa
  • Tom, Kyle Brandon
  • Khirbat, Aditi
  • Canniff, Justin Charles

Abrégé

One example provides a plasma-exposed part for a plasma processing tool. The plasma-exposed part comprises an etch-resistant material that has a lower etch rate than silicon or silicon carbide when exposed to plasma process gas chemistries comprising fluorine and/or oxygen. The etch-resistant material comprising one or more of (a) an oxide, a nitride, or an oxynitride of one or more of titanium, hafnium, zirconium, or tin, or (b) one or more of silicon or silicon carbide doped with one or more of titanium, hafnium, zirconium, or tin.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

43.

METHOD FOR ETCHING FEATURES IN A STACK

      
Numéro d'application US2023073655
Numéro de publication 2024/064526
Statut Délivré - en vigueur
Date de dépôt 2023-09-07
Date de publication 2024-03-28
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Belau, Leonid
  • Hudson, Eric

Abrégé

A method for etching features in a stack comprising a silicon oxide layer below a mask is provided. A substrate support for supporting the stack in an etch chamber is cooled to a temperature below 0° C. An etch gas comprising a halogen containing component and a phosphorous containing component is provided. A plasma is generated from the etch gas. A bias is provided to accelerate ions from the plasma to the stack. Features are selectively etched in the stack with respect to the mask.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

44.

GAS DISTRIBUTION PORT INSERT AND APPARATUS INCLUDING THE SAME

      
Numéro d'application US2023033446
Numéro de publication 2024/064319
Statut Délivré - en vigueur
Date de dépôt 2023-09-22
Date de publication 2024-03-28
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Mak, Joshua Nathaniel Eric
  • Boatright, Daniel
  • Huang, Yanhui
  • Beaudette, Chad Adrien
  • Linebarger Jr., Nick Ray
  • Shaikh, Fayaz A.
  • Luo, Bin
  • Leonard, Callan Patrick
  • Wang, Ruisong
  • Lee, James Forest

Abrégé

A gas distribution port insert, and equipment for use therewith, capable of suppressing or at least reducing process gas interaction with and/or back diffusion into a gas distribution body including the gas distribution port insert in association with a semiconductor processing tool.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

45.

PYROCHLORE COMPONENT FOR PLASMA PROCESSING CHAMBER

      
Numéro d'application US2023030811
Numéro de publication 2024/063892
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-03-28
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Wetzel, David Joseph
  • Xu, Lin
  • Liu, Lei
  • Detert, Douglas
  • Yasseri, Amir A.
  • Daugherty, John

Abrégé

A component for use in a plasma processing chamber system is provided. A component body has a plasma facing surface. The plasma facing surface comprises a pyrochlore, comprising at least one of zirconium and hafnium and at least one of lanthanum (La), samarium (Sm), yttrium (Y), erbium (Er), cerium (Ce), gadolinium (Gd), ytterbium (Yb), and neodymium (Nd).

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

46.

BELLOWS SEAL FOR LOW THRU-FORCE ACTUATION OF TEMPERATURE PROBE ACROSS VACUUM INTERFACE

      
Numéro d'application US2023032982
Numéro de publication 2024/064049
Statut Délivré - en vigueur
Date de dépôt 2023-09-18
Date de publication 2024-03-28
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Perez, Aris
  • Stevenot, Scott
  • Matyushkin, Alexander
  • Mace, Adam Christopher

Abrégé

An actuator assembly to actuate a plasma tuning ring in a processing chamber includes an actuator, a rod, bellows, and vacuum seals. The actuator is arranged external to the processing chamber. The processing chamber is under vacuum. The actuator is at atmospheric pressure. The rod is coupled to the actuator and to the plasma tuning ring in the processing chamber. The bellows are arranged external to the processing chamber between the actuator and the processing chamber. The rod passes through the bellows into the processing chamber. The vacuum seals are disposed between the bellows and the actuator and between the bellows and the processing chamber to seal the vacuum in the processing chamber from the atmospheric pressure external to the processing chamber.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

47.

BAKE-SENSITIVE UNDERLAYERS TO REDUCE DOSE TO SIZE OF EUV PHOTORESIST

      
Numéro d'application US2023033020
Numéro de publication 2024/064071
Statut Délivré - en vigueur
Date de dépôt 2023-09-18
Date de publication 2024-03-28
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Demuth, Joshua James
  • Xue, Jun
  • Peter, Daniel
  • Liu, Yulu
  • Tan, Samantha S.H.
  • Chen, I-Cheng
  • Manumpil, Mary Anne

Abrégé

Provided are patterning structure underlayers deposited between a. substrate and an imaging layer, the underlayers having chemically labile, activatable bonds useful in extreme ultraviolet lithography. Reactive moieties may be released from the underlayer's activatable bonds in the presence of heat, oxidizing gases and/or inert gases into the imaging layer above.

Classes IPC  ?

  • G03F 7/11 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires avec des couches de recouvrement ou des couches intermédiaires, p.ex. couches d'ancrage
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/38 - Traitement avant le dépouillement selon l'image, p.ex. préchauffage
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou

48.

SEMICONDUCTOR STACKS AND PROCESSES THEREOF

      
Numéro d'application US2023033175
Numéro de publication 2024/064161
Statut Délivré - en vigueur
Date de dépôt 2023-09-19
Date de publication 2024-03-28
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Srinivasan, Easwar
  • Varadarajan, Bhadri N.
  • Leeser, Karl Frederick
  • Hausmann, Dennis M.
  • Van Schravendijk, Bart J.
  • Durbin, Aaron
  • Chandrasekharan, Ramesh
  • Sakiyama, Yukinori

Abrégé

The present disclosure relates to vertical stacks including heterolayers, as well as processes and methods of their manufacture. Also described herein are apparatuses and systems for preparing and making such stacks.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/24 - Dépôt uniquement de silicium
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p.ex. borures, carbures, nitrures
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/452 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs par activation de courants de gaz réactifs avant l'introduction dans la chambre de réaction, p.ex. par ionisation ou par addition d'espèces réactives

49.

LAM CRYO

      
Numéro de série 98467965
Statut En instance
Date de dépôt 2024-03-26
Propriétaire Lam Research Corporation ()
Classes de Nice  ? 07 - Machines et machines-outils

Produits et services

Semiconductor manufacturing machines; Semiconductor substrates manufacturing machines; Semiconductor wafer processing equipment; Semiconductor wafer processing machines; replacement parts and fittings for all of the aforementioned goods

50.

CAPACITANCE MEASUREMENT WITHOUT DISCONNECTING FROM HIGH POWER CIRCUIT

      
Numéro d'application 18522090
Statut En instance
Date de dépôt 2023-11-28
Date de la première publication 2024-03-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Kapoor, Sunil
  • Frederick, Thomas

Abrégé

Methods and apparatus for measuring capacitance are disclosed.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01R 13/02 - Dispositions pour la présentation de variables électriques ou de formes d'ondes pour la présentation sous forme numérique des variables électriques mesurées
  • G01R 19/00 - Dispositions pour procéder aux mesures de courant ou de tension ou pour en indiquer l'existence ou le signe
  • G01R 27/26 - Mesure de l'inductance ou de la capacitance; Mesure du facteur de qualité, p.ex. en utilisant la méthode par résonance; Mesure de facteur de pertes; Mesure des constantes diélectriques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 23/64 - Dispositions relatives à l'impédance

51.

INTEGRATED WAFER BOW MEASUREMENTS

      
Numéro d'application 18525539
Statut En instance
Date de dépôt 2023-11-30
Date de la première publication 2024-03-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Arora, Rajan
  • Souza, Michael
  • Tang, Wayne
  • Kabouzi, Yassine
  • Feng, Ye

Abrégé

In some examples, a wafer bow measurement system comprises a measurement unit including: a wafer support assembly to impart rotational movement to a measured wafer supported in the measurement unit; an optical sensor; a calibration standard to calibrate the optical sensor; a linear stage actuator to impart linear direction of movement to the optical sensor; a wafer centering sensor to determine a centering of the measured wafer supported in the measurement unit; and a wafer alignment sensor to determine an alignment of the measured wafer supported in the measurement unit.

Classes IPC  ?

  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement

52.

SYSTEMS AND METHODS FOR PULSE WIDTH MODULATED DOSE CONTROL

      
Numéro d'application 18526411
Statut En instance
Date de dépôt 2023-12-01
Date de la première publication 2024-03-21
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Gregor, Mariusch

Abrégé

A substrate processing system for treating a substrate includes N manifolds, Y groups of injector assemblies, and a dose controller, where Y and N are integers greater than one. Each of the Y groups of injector assemblies includes N injector assemblies located in a processing chamber. Each of the N injector assemblies in each group of injector assemblies is in fluid communication with one of the N manifolds, respectively, and includes a valve including an inlet and an outlet. The dose controller is configured to control pulse widths output to the Y groups of injector assemblies to provide temporal dosing of the substrate

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • B05B 1/14 - Buses, têtes de pulvérisation ou autres dispositifs de sortie, avec ou sans dispositifs auxiliaires tels que valves, moyens de chauffage avec des filtres placés dans ou à l'extérieur de l'orifice de sortie
  • B05B 1/30 - Buses, têtes de pulvérisation ou autres dispositifs de sortie, avec ou sans dispositifs auxiliaires tels que valves, moyens de chauffage agencés pour commander un débit, p.ex. à l'aide de conduits de section réglable
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

53.

METHOD FOR ETCHING FEATURES USING HF GAS

      
Numéro d'application US2023073737
Numéro de publication 2024/059467
Statut Délivré - en vigueur
Date de dépôt 2023-09-08
Date de publication 2024-03-21
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Hudson, Eric
  • Belau, Leonid
  • Lill, Thorsten

Abrégé

START PLACE STACK IN CHAMBER ON SUPPORT COOL SUPPORT FLOW HF ETCH GAS INTO CHAMBER FORM ETCH GAS INTO PLASMA EXPOSE STACK TO PLASMA SELECTIVELY ETCH STACK REMOVE STACK FROM CHAMBER STOP

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/311 - Gravure des couches isolantes
  • C09K 13/00 - Compositions pour l'attaque chimique, la gravure, le brillantage de surface ou le décapage

54.

BACKSIDE LAYER FOR A SEMICONDUCTOR SUBSTRATE

      
Numéro d'application US2023032425
Numéro de publication 2024/059012
Statut Délivré - en vigueur
Date de dépôt 2023-09-11
Date de publication 2024-03-21
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Hamma, Soumana
  • Shaikh, Fayaz A.
  • Greninger, Sonia

Abrégé

A composite nanocrystalline silicon layer can be formed by depositing a polycrystalline silicon sublayer directly or indirectly on a substrate. An amorphous silicon sublayer is deposited on the polycrystalline silicon sublayer. The composite nanocrystalline silicon layer can be formed by repeating the deposition of the polycrystalline silicon sublayer and the amorphous silicon sublayer.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

55.

MACHINE-LEARNING IN MULTI-STEP SEMICONDUCTOR FABRICATION PROCESSES

      
Numéro d'application 18256665
Statut En instance
Date de dépôt 2021-12-14
Date de la première publication 2024-03-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Zhang, Yan
  • Feng, Ye
  • Talukder, Dipongkar
  • Bonde, Jeffrey D.
  • Woo, Weng Foong
  • Thimmavajjula, Karthik
  • Luque, Jorge

Abrégé

Methods and systems for using a time-series of spectra to identify endpoint of a multi-step semiconductor fabrication processes such as multi-step deposition and multi-step etch processes. One method includes accessing a virtual carpet (e.g., a machine learning model) that is formed from a time-series of spectra for the multi-step processes collected during a training operation. During production, in-situ time-series of spectra are compared to the virtual carpet as part of end pointing of multi-step fabrication processes.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06N 20/00 - Apprentissage automatique
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

56.

DETERMINATION OF RECIPES FOR MANUFACTURING SEMICONDUCTOR DEVICES

      
Numéro d'application 18385823
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2024-03-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sawlani, Kapil Umesh
  • Basu, Atashi
  • Fried, David Michael
  • Danek, Michal
  • Alden, Emily Ann

Abrégé

Methods, systems, and computer programs are presented for determining the recipe for manufacturing a semiconductor with the use of machine learning (ML) to accelerate the definition of recipes. One general aspect includes a method that includes an operation for performing experiments for processing a component, each experiment controlled by a recipe, from a set of recipes, that identifies parameters for manufacturing equipment. The method further includes an operation for performing virtual simulations for processing the component, each simulation controlled by one recipe from the set of recipes. An ML model is obtained by training an ML algorithm using experiment results and virtual results from the virtual simulations. The method further includes operations for receiving specifications for a desired processing of the component, and creating, by the ML model, a new recipe for processing the component based on the specifications.

Classes IPC  ?

  • G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation
  • G06F 30/27 - Optimisation, vérification ou simulation de l’objet conçu utilisant l’apprentissage automatique, p.ex. l’intelligence artificielle, les réseaux neuronaux, les machines à support de vecteur [MSV] ou l’apprentissage d’un modèle
  • G06F 30/337 - Optimisation de la conception

57.

SHOWERHEAD FACEPLATES

      
Numéro d'application US2023074154
Numéro de publication 2024/059684
Statut Délivré - en vigueur
Date de dépôt 2023-09-14
Date de publication 2024-03-21
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Huang, Zubin
  • Tucker, Jeremy Todd
  • Gear, Conor Le
  • Trakroo, Ujjwal Aashray

Abrégé

Semiconductor processing tool showerhead designs suitable for multi-gas delivery and for being made through additive manufacturing are provided. Such showerhead designs may feature either internal spiral passages or internal plenums with a plurality of pillars spanning between upper and lower surfaces thereof distributed throughout.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • B33Y 80/00 - Produits obtenus par fabrication additive

58.

SPRING-LOADED SEAL COVER BAND FOR PROTECTING A SUBSTRATE SUPPORT

      
Numéro d'application US2023032885
Numéro de publication 2024/059276
Statut Délivré - en vigueur
Date de dépôt 2023-09-15
Date de publication 2024-03-21
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Truong, Khoa Dang
  • Behziz, Behnam
  • Lie, Roger
  • Mitrovic, Slobodan
  • Yu, Yixuan
  • Ehrlich, Darrell
  • Wang, Feng
  • Samulon, Eric
  • Chen, Andra Yuting

Abrégé

A spring-loaded seal band for protecting a bonding layer of a substrate support, the spring-loaded seal band includes an annular body having a first length when the spring-loaded seal band is in an uncompressed state. The annular body comprises a first annular body portion, an annular arm, and a flexible neck portion that connects the first annular body portion and the annular arm. The spring-loaded seal band is configured to surround the substrate support between a lower surface of a top plate and an upper surface of a baseplate. The lower surface of the top plate and the upper surface of the baseplate is separated by a second length. The first length is greater than the second length. The flexible neck portion is configured to bend when the spring-loaded seal band is in an installed compressed state.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

59.

SHAPED SILICON OUTER UPPER ELECTRODE FOR PLASMA PROCESSING

      
Numéro d'application US2023073229
Numéro de publication 2024/054774
Statut Délivré - en vigueur
Date de dépôt 2023-08-31
Date de publication 2024-03-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Thompson, William, Dean
  • Bailey, Andrew, D.

Abrégé

An outer upper electrode for plasma processing. A shaped bottom surface and a top surface having a radial width, wherein a middle portion of the top surface has an oval shape. A cylindrical outline traversing a height of the outer upper electrode, wherein the middle portion of the top surface defines a top of the cylindrical outline. An inner diameter surface joining the top surface and the shaped bottom surface, wherein the inner diameter surface includes a transition edge. An outer diameter surface joining the top surface and the shaped bottom surface. A convex protrusion of the shaped bottom surface protruding below the transition edge of the inner diameter surface, wherein the convex protrusion includes a protrusion minima that is located in an interior region of the cylindrical outline.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

60.

GAS COOLING COVER FOR AN EXHAUST LINE OF A SUBSTRATE PROCESSING SYSTEM

      
Numéro d'application US2023030564
Numéro de publication 2024/054344
Statut Délivré - en vigueur
Date de dépôt 2023-08-18
Date de publication 2024-03-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Borth, Andrew
  • Donnelly, Sean M.

Abrégé

A gas cooling cover for an exhaust connector of a substrate processing system includes a first cover portion configured for arrangement around a first portion of the exhaust connector of the substrate processing system and including a first body defining a first gas plenum and a second gas plenum. A first gas inlet is arranged on an outer surface of the first body and in fluid communication with the first gas plenum. A first plurality of nozzles is arranged on an inner surface of the first cover portion and in fluid communication with the first gas plenum. A first plurality of exhaust ports is arranged on the inner surface of the first cover portion and configured to direct gas located between the first cover portion and the first portion of the exhaust connector to the second gas plenum of the first body.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

61.

DOPED SILICON OR BORON LAYER FORMATION

      
Numéro d'application US2023031873
Numéro de publication 2024/054413
Statut Délivré - en vigueur
Date de dépôt 2023-09-01
Date de publication 2024-03-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Yang, Nuoya
  • Wang, Yuxi
  • Gong, Bo
  • Mckerrow, Andrew John

Abrégé

An amorphous silicon layer or amorphous boron layer can be deposited on a substrate using one or more silicon or boron-containing precursors, respectively. Radical species are provided from a plasma source or from a controlled reaction chamber atmosphere to convert the amorphous silicon layer to a doped silicon layer with composition tunability. An initiation layer is deposited on one or more semiconductor device structures having a dielectric layer over an electrically conductive layer. The initiation layer may be conformally deposited by a CVD-based process and may comprises amorphous silicon, doped silicon, amorphous boron, or doped boron.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

62.

ALTERNATING ETCH AND PASSIVATION PROCESS

      
Numéro d'application 18505043
Statut En instance
Date de dépôt 2023-11-08
Date de la première publication 2024-03-14
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Heo, Seongjun
  • Yu, Jengyi
  • Liang, Chen-Wei
  • Jensen, Alan J.
  • Tan, Samantha S.H.

Abrégé

Tin oxide films are used as spacers and hardmasks in semiconductor device manufacturing. In one method, tin oxide layer (e.g., spacer footing) needs to be selectively etched in a presence of an exposed silicon-containing layer, such as SiOC, SiON, SiONC, amorphous silicon, SiC, or SiN. In order to reduce damage to the silicon-containing layer the process involves passivating the silicon-containing layer towards a tin oxide etch chemistry, etching the tin oxide, and repeating passivation and etch in an alternating fashion. For example, passivation and etch can be each performed between 2-50 times. In one implementation, passivation is performed by treating the substrate with an oxygen-containing reactant, activated in a plasma, and the tin oxide etching is performed by a chlorine-based chemistry, such as using a mixture of Cl2 and BCl3.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

63.

SYSTEMS AND METHODS FOR HOMOGENOUS INTERMIXING OF PRECURSORS IN ALLOY ATOMIC LAYER DEPOSITION

      
Numéro d'application 18519290
Statut En instance
Date de dépôt 2023-11-27
Date de la première publication 2024-03-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Fisher, Ilanit
  • Humayun, Raashina
  • Danek, Michal
  • Van Cleemput, Patrick
  • Thombare, Shruti

Abrégé

A showerhead includes a plurality of plenums and a plurality of through holes positioned in the plurality of plenums. The plenums are stacked in a sequential order in an axial direction perpendicular to a semiconductor substrate. The plenums extend radially fully across the semiconductor substrate. The plenums are disjoint from each other and are configured to respectively supply a first metal precursor, a second metal precursor, and a reactant via the respective plenums without intermixing the first metal precursor, the second metal precursor, and the reactant in the plenums. The through holes of the respective plenums are arranged in a radial direction, which is perpendicular to the axial direction, in the same sequential order as the sequential order of the plenums. The through holes of the plenums open along a flat surface at a bottom of the showerhead. The flat surface extends radially fully across the bottom of the showerhead.

Classes IPC  ?

  • C23C 16/06 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches

64.

ELECTROCHEMICAL ASSEMBLY FOR FORMING SEMICONDUCTOR FEATURES

      
Numéro d'application 18261485
Statut En instance
Date de dépôt 2022-01-28
Date de la première publication 2024-03-14
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Mayer, Steven T.
  • Thorkelsson, Kari

Abrégé

Methods, apparatuses, and systems for forming deposited features on workpieces are provided herein. Generally, the techniques herein employ a deposition head to define an electrical field that facilitates electrochemical deposition. Other systems and controllers can be employed, which can assist in aligning or positioning the deposition head in proximity to a workpiece and controlling the size and location of the deposited feature.

Classes IPC  ?

  • C25D 7/12 - Semi-conducteurs
  • C25D 5/02 - Dépôt sur des surfaces déterminées
  • C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
  • C25D 21/12 - Commande ou régulation

65.

MULTI-SENSOR DETERMINATION OF A STATE OF SEMICONDUCTOR EQUIPMENT

      
Numéro d'application US2023031458
Numéro de publication 2024/054380
Statut Délivré - en vigueur
Date de dépôt 2023-08-29
Date de publication 2024-03-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Sawlani, Kapil
  • Franzen, Paul
  • Vasquez, Miguel Benjamin
  • Yee, Benjamin Tong
  • Konkola, Paul
  • Valley, John

Abrégé

Methods and apparatus for multi-sensor determination of a state of semiconductor equipment are provided In some embodiments disclosed herein, semiconductor manufacturing equipment may include: a plurality of sensors comprising one or more spatial sensors, one or more spectral sensors, and one or more temporal sensors disposed about the semiconductor manufacturing equipment; and a controller communicatively coupled to the plurality of sensors, the controller configured to cause: determining a set of signals, from the plurality of sensors, to monitor during a process to be performed by the semiconductor manufacturing equipment; during the process, obtaining measurements associated with the set of signals from the plurality of sensors; and determining an indication of a state of the semiconductor manufacturing equipment based on a combination of data generated from the measurements associated with the set of signals.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • G01J 3/28 - Etude du spectre

66.

SPATIALLY AND DIMENSIONALLY NON-UNIFORM CHANNELLED PLATE FOR TAILORED HYDRODYNAMICS DURING ELECTROPLATING

      
Numéro d'application 18261734
Statut En instance
Date de dépôt 2022-01-19
Date de la première publication 2024-03-07
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Banik, Ii, Stephen J.
  • Graham, Gabriel Hay
  • Buckalew, Bryan L.
  • Rash, Robert
  • Chua, Lee Peng
  • Wilmot, Frederick Dean
  • Lin, Chien-Chieh

Abrégé

An ionically resistive ionically permeable element for use in an electroplating apparatus includes ribs to tailor hydrodynamic environment proximate a substrate during electroplating. In one implementation, the ionically resistive ionically permeable element includes a channeled portion that is at least coextensive with a plating face of the substrate, and a plurality of ribs extending from the substrate-facing surface of the channeled portion towards the substrate. Ribs include a first plurality of ribs of full maximum height and a second plurality of ribs of smaller maximum height than the full maximum height. In one implementation the ribs of smaller maximum height are disposed such that the maximum height of the ribs gradually increases in a direction from one edge of the element to the center of the element.

Classes IPC  ?

  • C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
  • C25D 3/38 - Dépôt électrochimique; Bains utilisés à partir de solutions de cuivre
  • C25D 3/60 - Dépôt électrochimique; Bains utilisés à partir de solutions d'alliages contenant plus de 50% en poids d'étain
  • C25D 17/06 - Dispositifs pour suspendre ou porter les objets à revêtir
  • C25D 21/10 - Agitation des électrolytes; Déplacement des claies
  • C25D 21/12 - Commande ou régulation

67.

EDGE SEAL FOR LOWER ELECTRODE ASSEMBLY

      
Numéro d'application 18377371
Statut En instance
Date de dépôt 2023-10-06
Date de la première publication 2024-03-07
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Schaefer, David
  • Chhatre, Ambarish
  • Gaff, Keith William
  • Kim, Sung Je
  • Lai, Brooke Mesler

Abrégé

An edge seal for sealing an outer surface of a lower electrode assembly configured to support a semiconductor substrate in a plasma processing chamber, the lower electrode assembly including an annular groove defined between a lower member and an upper member of the lower electrode assembly. The edge seal includes an elastomeric band configured to be arranged within the groove, the elastomeric band having an annular upper surface, an annular lower surface, an inner surface, and an outer surface. When the elastomeric band is in an uncompressed state, the outer surface of the elastomeric band is concave. When the upper and lower surfaces are axially compressed at least 1% such that the elastomeric band is in a compressed state, an outward bulging of the outer surface is not greater than a predetermined distance. The predetermined distance corresponds to a maximum outer diameter of the elastomeric band in the uncompressed state.

Classes IPC  ?

  • F16J 15/02 - Joints d'étanchéité entre surfaces immobiles entre elles
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H02N 13/00 - Embrayages ou dispositifs de maintien utilisant l'attraction électrostatique, p.ex. utilisant l'effet Johnson-Rahbek

68.

A TEMPERATURE CONTROLLED SHOWER HEAD FOR A PROCESSING TOOL

      
Numéro d'application US2023072595
Numéro de publication 2024/050248
Statut Délivré - en vigueur
Date de dépôt 2023-08-21
Date de publication 2024-03-07
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Jones, Christopher Matthew
  • Durbin, Aaron
  • Miller, Aaron Blake
  • Lemaire, Paul C.
  • Edmondson, Bryce Isaiah
  • Abel, Joseph R.

Abrégé

An apparatus includes a shower head comprising a disk and a stem coupled with the disk and an adjuster coupled with the stem. The adjuster includes an adapter comprising a heater cartridge; and a fluid line adjacent to the heater cartridge, where the heater cartridge extends from a top surface of the adapter through a first cavity in the adapter and within a second cavity in the stem. The adapter further includes a bellows comprising a flange, where the bellows is coupled with the adapter through the flange.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

69.

MODULAR VAPOR DELIVERY SYSTEM FOR SEMICONDUCTOR PROCESS TOOLS

      
Numéro d'application US2023072597
Numéro de publication 2024/050249
Statut Délivré - en vigueur
Date de dépôt 2023-08-21
Date de publication 2024-03-07
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Jones, Christopher Matthew
  • Durbin, Aaron
  • Bamford, Thadeous
  • Stumpf, John Folden
  • Lemaire, Paul C.
  • Edmondson, Bryce Isaiah
  • Abel, Joseph R.

Abrégé

A modular vapor delivery system comprising a flow control component module that comprises a first inlet port and a second inlet port, a liquid flow controller coupled to an outlet port of the flow control component module, and a vaporizer module coupled to an outlet port of the liquid flow controller.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/52 - Commande ou régulation du processus de dépôt

70.

ATOMIC LAYER DEPOSITION WITH IN-SITU SPUTTERING

      
Numéro d'application US2023072609
Numéro de publication 2024/050252
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-03-07
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Baker, Jonathan Grant
  • Agarwal, Pulkit
  • Liu, Pei-Chi
  • Jiang, Gengwei

Abrégé

Examples are disclosed that relate to using in-situ sputtering in an atomic layer deposition tool to form an angular surface feature in a substrate. One example provides a method of forming an angular surface feature on a substrate in an integrated circuit process. The method comprises placing the substrate in a processing chamber of an atomic layer deposition (ALD) tool. The method further comprises controlling the ALD tool to form a film on the substrate by performing one or more ALD cycles. The method further comprises controlling the ALD tool to sputter the film to form the angular surface feature.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/56 - Post-traitement
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

71.

NITRIDE THERMAL ATOMIC LAYER ETCH

      
Numéro d'application US2023031035
Numéro de publication 2024/049699
Statut Délivré - en vigueur
Date de dépôt 2023-08-24
Date de publication 2024-03-07
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Routzahn, Aaron Lynn
  • Lill, Thorsten Bernd
  • Fischer, Andreas

Abrégé

Provided are nitride atomic layer etch including in situ generating a phosphoric acid on the surface of silicon nitride layer by reacting a phosphorus containing reactant with one or more oxidants. Phosphoric acid selectively etches silicon nitride layer over silicon oxide and/or silicon.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

72.

A PLASMA PROCESSING SYSTEM WITH A GAS RECYCLING SYSTEM

      
Numéro d'application US2023030790
Numéro de publication 2024/044165
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-02-29
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Albarede, Luc
  • Paterson, Alexander Miller
  • Marsh, Richard A.

Abrégé

A gas recycling system attachable to a semiconductor processing chamber is provided. A membrane filtering system is in fluid connection with the semiconductor processing chamber, the membrane filtering system comprising at least one gas separation membrane, wherein the at least one gas separation membrane filters a pressurized exhaust gas from the semiconductor processing chamber to separate at least one gas from the pressurized exhaust gas.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • B01D 46/10 - Séparateurs de particules utilisant des plaques, des feuilles ou des tampons filtrants à surface plane, p.ex. appareils de précipitation de poussières

73.

APPARATUS AND METHOD WITH QUARTZ CRYSTAL MICROBALANCE AND FLOW CELL

      
Numéro d'application EP2023072372
Numéro de publication 2024/041920
Statut Délivré - en vigueur
Date de dépôt 2023-08-14
Date de publication 2024-02-29
Propriétaire LAM RESEARCH AG (Autriche)
Inventeur(s)
  • Klemm, Michael
  • Brien, Daniel

Abrégé

An apparatus comprising: a quartz crystal microbalance comprising a quartz crystal resonator having a coating; and a flow cell arranged to flow a liquid over the coating.

Classes IPC  ?

  • G01N 29/02 - Analyse de fluides
  • G01N 29/036 - Analyse de fluides en mesurant la fréquence ou la résonance des ondes acoustiques
  • G01N 29/22 - Recherche ou analyse des matériaux par l'emploi d'ondes ultrasonores, sonores ou infrasonores; Visualisation de l'intérieur d'objets par transmission d'ondes ultrasonores ou sonores à travers l'objet - Détails

74.

SYSTEM AND METHOD FOR PERFORMING PROCESS MODEL CALIBRATION IN A VIRTUAL SEMICONDUCTOR DEVICE FABRICATION ENVIRONMENT

      
Numéro d'application 18503718
Statut En instance
Date de dépôt 2023-11-07
Date de la première publication 2024-02-29
Propriétaire Coventor, Inc. (USA)
Inventeur(s)
  • Egan, William J.
  • Greiner, Kenneth B.
  • Fried, David M.
  • Kunwar, Anshuman

Abrégé

A virtual fabrication environment for semiconductor device fabrication that includes an analytics module for performing key parameter identification, process model calibration and variability analysis is discussed.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 3/04815 - Interaction s’effectuant dans un environnement basé sur des métaphores ou des objets avec un affichage tridimensionnel, p.ex. modification du point de vue de l’utilisateur par rapport à l’environnement ou l’objet
  • G06F 3/04847 - Techniques d’interaction pour la commande des valeurs des paramètres, p.ex. interaction avec des règles ou des cadrans
  • G06T 19/20 - Transformation de modèles ou d'images tridimensionnels [3D] pour infographie Édition d'images tridimensionnelles [3D], p.ex. modification de formes ou de couleurs, alignement d'objets ou positionnements de parties

75.

HIGH ASPECT RATIO ETCH WITH A NON-UNIFORM METAL OR METALLOID CONTAINING MASK

      
Numéro d'application US2023030867
Numéro de publication 2024/044216
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-02-29
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Veber, Gregory Clinton
  • Chuang, Ming-Yuan
  • Puthenkovilakam, Ragesh
  • Reddy, Kapu Sirish
  • Bhadauriya, Sonal
  • Yu, Yongsik
  • Mukhopadhyay, Amit
  • Xu, Qing
  • Wong, Merrett

Abrégé

A method for etching features in a stack is provided. A non-uniform metal or metalloid containing mask is formed over the stack. The stack is etched through the non-uniform metal or metalloid containing mask, wherein the etching sputters metal or metalloid in the non-uniform metal or metalloid containing mask and the sputtered metal or metalloid physically redeposits on sidewalls of features etched in the stack as a sputtered metal or metalloid containing passivation layer.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

76.

HIGH ASPECT RATIO ETCH WITH A RE-DEPOSITED HELMET MASK

      
Numéro d'application US2023030868
Numéro de publication 2024/044217
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-02-29
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Mukhopadhyay, Amit
  • Piskun, Ilya
  • Veber, Gregory Clinton
  • Xu, Qing
  • Yu, Yongsik
  • Wong, Merrett
  • Roberts, Francis Sloan
  • Maliekkal, Vineet
  • Puthenkovilakam, Ragesh
  • Reddy, Kapu Sirish

Abrégé

A method for etching features in a stack is provided. A patterned mask is formed over the stack. The stack is partially etched through the patterned mask. A helmet mask is deposited over the patterned mask. The stack is etched through the helmet mask.

Classes IPC  ?

77.

HIGH ASPECT RATIO ETCH WITH A LINER

      
Numéro d'application US2023030869
Numéro de publication 2024/044218
Statut Délivré - en vigueur
Date de dépôt 2023-08-22
Date de publication 2024-02-29
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Mukhopadhyay, Amit
  • Xu, Qing
  • Wong, Merrett
  • Piskun, Ilya
  • Veber, Gregory Clinton
  • Yu, Yongsik
  • Roberts, Francis Sloan
  • Puthenkovilakam, Ragesh
  • Reddy, Kapu Sirish

Abrégé

A method for etching features in a stack is provided. A patterned mask is formed over the stack. Features are partially etched in the stack through the patterned mask. A helmet mask is deposited over the patterned mask and liner on sidewalls of the features. The stack is etched through the helmet mask.

Classes IPC  ?

78.

PROCESSING PARTS USING SOLID-STATE ADDITIVE MANUFACTURING

      
Numéro d'application 18270481
Statut En instance
Date de dépôt 2022-01-06
Date de la première publication 2024-02-22
Propriétaire Lam Research Corporaton (USA)
Inventeur(s)
  • Hazarika, Pankaj Jyoti
  • Sarobol, Pylin
  • Schick, Matthew Brian
  • Torbatisarraf, Seyedalireza

Abrégé

Semiconductor-processing chamber components and methods for making the components are presented. One component includes a base including a metallic material, a metal matrix composite (MMC) layer, and a dielectric layer. The MMC layer at least partially covers the base, and the MMC layer comprises a metallic material as a continuous phase and a non-metallic material as a disperse phase. Further, the MMC layer is formed on the base using solid-state additive manufacturing (SSAM). The dielectric layer is made of a non-metallic material and is directly on the MMC layer.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • B33Y 80/00 - Produits obtenus par fabrication additive
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

79.

DOPED OR UNDOPED SILICON CARBIDE DEPOSITION AND REMOTE HYDROGEN PLASMA EXPOSURE FOR GAPFILL

      
Numéro d'application 18501395
Statut En instance
Date de dépôt 2023-11-03
Date de la première publication 2024-02-22
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Yuan, Guangbi
  • Narkeviciute, Ieva
  • Gong, Bo
  • Varadarajan, Bhadri N.

Abrégé

A doped or undoped silicon carbide (SiCxOyNz) film can be deposited in one or more features of a substrate for gapfill. After a first thickness of the doped or undoped silicon carbide film is deposited in the one or more features, the doped or undoped silicon carbide film is exposed to a remote hydrogen plasma under conditions that cause a size of an opening near a top surface of each of the one or more features to increase, where the conditions can be controlled by controlling treatment time, treatment frequency, treatment power, and/or remote plasma gas composition. Operations of depositing additional thicknesses of silicon carbide film and performing a remote hydrogen plasma treatment are repeated to at least substantially fill the one or more features. Various time intervals between deposition and plasma treatment may be added to modulate gapfill performance.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p.ex. borures, carbures, nitrures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/56 - Post-traitement
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

80.

DUAL-CHANNEL MONOBLOCK GAS MANIFOLD

      
Numéro d'application US2023030521
Numéro de publication 2024/039811
Statut Délivré - en vigueur
Date de dépôt 2023-08-18
Date de publication 2024-02-22
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Agarwal, Prahalad Narasinghdas

Abrégé

This disclosure pertains to compact, mono-block manifolds for providing dual-channel gas delivery for semiconductor processing tools. Some such manifolds may be designed to have surface-mount flow component interfaces on opposite sides of the manifold so as to reduce the overall footprint of the manifold block.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

81.

SELECTIVE SIGE ETCHING USING THERMAL F2 WITH ADDITIVE

      
Numéro d'application US2023029465
Numéro de publication 2024/039530
Statut Délivré - en vigueur
Date de dépôt 2023-08-04
Date de publication 2024-02-22
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Melaet, Gerome Michel Dominique
  • Zhu, Ji
  • Kawaguchi, Mark Naoshi
  • Hua, Xuefeng
  • Gordon, Madeleine Parker

Abrégé

2222. Use of the additive produces a more uniform etch rate for the material being etched than would otherwise be achieved in the absence of the additive.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

82.

MULTICHANNEL HEATED GAS DELIVERY SYSTEM

      
Numéro d'application US2023030150
Numéro de publication 2024/039602
Statut Délivré - en vigueur
Date de dépôt 2023-08-14
Date de publication 2024-02-22
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Campello, Mark
  • Kondi, Sushanth
  • Potdar, Prashant
  • Bamford, Thadeous
  • Jonnagadla Rajagopal, Murali Krishna
  • Sitharamachari, Janardhan Achari Murkai
  • Patil, Naveen

Abrégé

A gas conditioning assembly comprising a first block structure and at least a second block structure is disclosed. A first gas flow passage and a second gas flow passage extend within the first block structure. The first gas flow passage is adjacent to the second gas flow passage. The second block structure comprises a reservoir housing block and a reservoir yoke. The reservoir yoke comprises at least one gas reservoir within the reservoir housing block. The second block structure further comprises a nonplanar sidewall adjacent to the first block structure. The nonplanar sidewall comprises a plurality of recessed contours and a plurality of grooves extending along the nonplanar sidewall. Individual recessed contours are in thermal contact with adjacent surface mount components. Individual grooves are in thermal contact with gas line tubing sections extending from the first block structure.

Classes IPC  ?

  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/52 - Commande ou régulation du processus de dépôt

83.

BOND PROTECTION FOR AN ELECTROSTATIC CHUCK IN A PLASMA PROCESSING CHAMBER

      
Numéro d'application US2023030343
Numéro de publication 2024/039717
Statut Délivré - en vigueur
Date de dépôt 2023-08-16
Date de publication 2024-02-22
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Narendrnath, Kadthala R.
  • Kondekar, Neha
  • Yu, Yixuan
  • Mitrovic, Slobodan
  • Samulon, Eric
  • Purandare, Moreshwar Narayan

Abrégé

An electrostatic chuck system for use in a plasma processing chamber is provided. A conductive base plate is provided. A bond of a bonding material is bonded to a surface of the base plate on a first side of the bond. A ceramic plate is bonded to a second side of the bond. A protective strip surrounds the bond and extends between the conductive base plate and the ceramic plate, wherein the protective strip comprises at least one of an anodized strip, a ceramic tape strip, and a coated aluminum strip.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

84.

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

      
Numéro d'application 18494710
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2024-02-15
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Augustyniak, Edward
  • French, David
  • Kapoor, Sunil
  • Sakiyama, Yukinori
  • Thomas, George

Abrégé

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

85.

CARRIER RING DESIGNS FOR CONTROLLING DEPOSITION ON WAFER BEVEL/EDGE

      
Numéro d'application 18494756
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2024-02-15
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Janicki, Michael John
  • Williams, Brian Joseph

Abrégé

Various carrier ring designs and configurations to control an amount of deposition at a wafer's front side and bevel edge are provided. The carrier ring designs can control the amount of deposition at various locations of the wafer while deposition is performed on the wafer's back side, with no deposition desired on the front side of the wafer. These locations include front side, edge, and back side of bevel; and front and back side of the wafer. Edge profiles of the carrier rings are designed to control flow of process gases, flow of front side purge gas, and plasma effects. In some designs, through holes are added to the carrier rings to control gas flows. The edge profiles and added features can reduce or eliminate deposition at the wafer's front side and bevel edge.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

86.

SYSTEMS AND TECHNIQUES FOR OPTICAL MEASUREMENT OF THIN FILMS

      
Numéro d'application 18260713
Statut En instance
Date de dépôt 2022-01-04
Date de la première publication 2024-02-15
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Yang, Liu
  • Li, Mengping
  • Ghongadi, Shantinath
  • Pfau, Andrew James

Abrégé

Methods provided herein may include illuminating a region on a wafer within a semiconductor processing tool, the wafer having a layer of a material that is at least semi-transparent to light and has a measurable extinction coefficient, and the region being a first fraction of the wafer's surface, detecting light reflected off the material and off a surface underneath the material using one or more detectors and generating optical data corresponding to the detected light, generating a metric associated with a property of the material on the wafer by applying the optical data to a transfer function that relates the optical data to the metric associated with the property of the material on the wafer, determining an adjustment to one or more processing parameters for a processing module, and performing or modifying a processing operation in the processing module according to the adjusted one or more processing parameters.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 21/84 - Systèmes spécialement adaptés à des applications particulières

87.

PRECURSORS FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS

      
Numéro d'application 18379397
Statut En instance
Date de dépôt 2023-10-12
Date de la première publication 2024-02-15
Propriétaire Lam Research Corporation (USA)
Inventeur(s) Blakeney, Kyle Jordan

Abrégé

Molybdenum-containing films are deposited on semiconductor substrates using reactions of molybdenum-containing precursors in ALD and CVD processes. In some embodiments, the precursors can be used for deposition of molybdenum metal films with low levels of incorporation of carbon and nitrogen. In some embodiments, the films are deposited using fluorine-free precursors in a presence of exposed silicon-containing layers without using etch stop layers. The precursor, in some embodiments, is a compound that includes molybdenum, at least one halogen that forms a bond with molybdenum, and at least one organic ligand that includes an element selected from the group consisting of N, O, and S, that forms a bond with molybdenum. In another aspect, the precursor is a molybdenum compound with at least one sulfur-containing ligand, and preferably no molybdenum-carbon bonds.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques

88.

ADAPTIVE MODEL TRAINING FOR PROCESS CONTROL OF SEMICONDUCTOR MANUFACTURING EQUIPMENT

      
Numéro d'application 18258497
Statut En instance
Date de dépôt 2021-12-13
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Talukder, Dipongkar
  • Zhang, Yan
  • Feng, Ye
  • Bonde, Jeffrey D.

Abrégé

Various embodiments herein relate to systems and methods for adaptive model training. In some embodiments, a computer program product for adaptive model training is provided, the computer program product comprising a non-transitory computer readable medium on which is provided computer-executable instructions for: receiving, from a plurality of process chambers, ex situ data associated with wafers fabricated using the process chambers and in situ measurements, wherein a first machine learning model is used to predict the ex situ data using the in situ measurements; calculating a metric indicating an error associated with the first machine learning model; determining whether to update the first machine learning model; and generating a second machine learning model using the ex situ data and the in situ measurements.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

89.

MOLYBDENUM DEPOSITION IN FEATURES

      
Numéro d'application 18258973
Statut En instance
Date de dépôt 2022-01-03
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Na, Jeong-Seok
  • Thombare, Shruti Vivek
  • Hsieh, Yao-Tsung
  • Mandia, David Joseph
  • Lai, Chiukin Steven

Abrégé

Provided are deposition processes including deposition of a thin, protective Mo layer using a molybdenum chloride (MoClx) precursor. This may be followed by Mo deposition to fill the feature using a molybdenum oxyhalide (MoOyXz) precursor. The protective Mo layer enables Mo fill using an MoOyXz precursor without oxidation of the underlying surfaces. Also provided are in-situ clean processes in which a MoClx precursor is used to remove oxidation from underlying surfaces prior to deposition. Subsequent deposition using the MoClx precursor may deposit an initial layer and/or fill a feature.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation

90.

REAL-TIME CONTROL OF TEMPERATURE IN A PLASMA CHAMBER

      
Numéro d'application 18488950
Statut En instance
Date de dépôt 2023-10-17
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s) Jing, Changyou

Abrégé

Systems and methods for real-time control of temperature within a plasma chamber are described. One of the methods includes sensing a voltage in real time of a rail that is coupled to a voltage source. The voltage source supplies a voltage to multiple heater elements of the plasma chamber. The voltage that is sensed is used to adjust one or more duty cycles of corresponding one or more of the heater elements. The adjusted one or more duty cycles facilitate achieving and maintaining a temperature value within the plasma chamber over time.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)

91.

NON-ELASTOMERIC, NON-POLYMERIC, NON-METALLIC MEMBRANE VALVES FOR SEMICONDUCTOR PROCESSING EQUIPMENT

      
Numéro d'application 18489829
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Gregor, Mariusch
  • Panagopoulos, Theodoros
  • Lill, Thorsten Bernd

Abrégé

Non-elastomeric, non-polymeric, non-metallic membrane valves for use in high-vacuum applications are disclosed. Such valves are functional even when the fluid-control side of the valve is exposed to a sub-atmospheric pressure field which may generally act to collapse/seal traditional elastomeric membrane valves.

Classes IPC  ?

  • F16K 99/00 - Matière non prévue dans les autres groupes de la présente sous-classe

92.

ELECTRODEPOSITION SYSTEMS

      
Numéro d'application US2023070555
Numéro de publication 2024/030745
Statut Délivré - en vigueur
Date de dépôt 2023-07-20
Date de publication 2024-02-08
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • He, Zhian
  • Subbaiyan, Navaneetha Krishnan
  • Deshmukh, Swapnil Dattatray
  • Sweeney, Cian
  • Smedley, Benjamin
  • Reid, Jonathan
  • Ghongadi, Shantinath

Abrégé

Examples are disclosed that relate to operating an electrodeposition system comprising an inert anode. In one example system, the electrodeposition system includes a substrate holder and a cathode chamber configured to hold a catholyte. An anode chamber configured to hold an anolyte during the electrodeposition process comprises an inert anode. An intermediate chamber is positioned between the cathode chamber and the anode chamber. The intermediate chamber is separated from the cathode chamber by an ion exchange membrane.

Classes IPC  ?

  • C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
  • C25D 17/06 - Dispositifs pour suspendre ou porter les objets à revêtir
  • C25D 17/02 - Cuves; Installations s'y rapportant
  • C25D 17/10 - Electrodes
  • C25D 21/12 - Commande ou régulation
  • C25D 21/22 - Régénération des bains par échange d'ions

93.

SYSTEM AND METHOD TO MAINTAIN CONSTANT CLAMPING PRESSURE DURING CHAMBER REBOOTING AND POWER FAILURE INSTANCES

      
Numéro d'application US2023028770
Numéro de publication 2024/030307
Statut Délivré - en vigueur
Date de dépôt 2023-07-27
Date de publication 2024-02-08
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Ramesh, Hemanth
  • Mace, Adam Christopher
  • Saleh, Muad M Ahmouda

Abrégé

A clamping system for a substrate support includes a clamping assembly configured to clamp an edge ring to baseplate of the substrate support, a valve control assembly coupled to a compressed air source, and a valve assembly coupled between the valve control assembly and the clamping assembly. The valve assembly is coupled to the compressed air source and the valve control assembly, and the valve assembly separately receives compressed air as inputs from the compressed air source and the valve control assembly. The valve assembly is configured to selectively supply pressurized air from the compressed air source to the clamping assembly to clamp the edge ring to the baseplate in response to the inputs received from the valve control assembly.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

94.

REDUCING THERMAL BOW SHIFT

      
Numéro d'application US2023029129
Numéro de publication 2024/030382
Statut Délivré - en vigueur
Date de dépôt 2023-07-31
Date de publication 2024-02-08
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Ha, Jeongseok
  • Yin, Xin
  • Chan, Michael Anthony
  • Shaikh, Fayaz A.

Abrégé

Provided are methods and structures for keeping the integrity of layers deposited on a semiconductor wafer through a thermal cycle. Deposition of a second backside layer, or a cap, with an internal stress opposite to a first backside layer may be used to reduce bow shift of a wafer during a thermal cycle. The first backside layer may have a tensile internal stress or a compressive internal stress. The second backside layer has an internal stress opposite to the first backside layer. Each of the backside layers may be deposited by a backside deposition apparatus.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/324 - Traitement thermique pour modifier les propriétés des corps semi-conducteurs, p.ex. recuit, frittage

95.

PROTECTIVE COATING FOR ELECTROSTATIC CHUCKS

      
Numéro d'application 18490265
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Topping, Stephen
  • Burkhart, Vincent E.

Abrégé

An ElectroStatic Chuck (ESC) including a chucking surface having at least a portion covered with a coating of silicon oxide (SiO2), silicon nitride (Si3N4) or a combination of both. The coating can be applied in situ a processing chamber of a substrate processing tool and periodically removed and re-applied in situ to create fresh coating.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

96.

CONDUCTIVE BACKSIDE LAYER FOR BOW MITIGATION

      
Numéro d'application US2023029138
Numéro de publication 2024/030386
Statut Délivré - en vigueur
Date de dépôt 2023-07-31
Date de publication 2024-02-08
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Kwon, Byung Seok
  • Huang, Yanhui
  • Hamma, Soumana
  • Ha, Jeongseok
  • Shaikh, Fayaz A.

Abrégé

Provided are methods for keeping a semiconductor wafer chucked to an electrostatic chuck. The semiconductor wafer may have a conductive backside layer deposited on a backside of the wafer through backside deposition. The conductive layer may be able increase the electrostatic force between the wafer and the electrostatic chuck and to counteract internal stress the wafer may have due to frontside processing, keeping the wafer substantially flat.

Classes IPC  ?

  • H01L 21/302 - Traitement des corps semi-conducteurs en utilisant des procédés ou des appareils non couverts par les groupes pour changer leurs caractéristiques physiques de surface ou leur forme, p.ex. gravure, polissage, découpage
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • C23C 16/24 - Dépôt uniquement de silicium

97.

REPLACEABLE AND/OR COLLAPSIBLE EDGE RING ASSEMBLIES FOR PLASMA SHEATH TUNING INCORPORATING EDGE RING POSITIONING AND CENTERING FEATURES

      
Numéro d'application 18377141
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-02-01
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sanchez, Alejandro
  • Ford, Grayson
  • Ehrlich, Darrell
  • Alwan, Aravind
  • Leung, Kevin
  • Contreras, Anthony
  • Han, Zhumin
  • Casaes, Raphael
  • Wu, Joanna

Abrégé

A first edge ring for a substrate support is provided. The first edge ring includes an annular-shaped body and one or more lift pin receiving elements. The annular-shaped body is sized and shaped to surround an upper portion of the substrate support. The annular-shaped body defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface. The one or more lift pin receiving elements are disposed along the lower surface of the annular-shaped body and sized and shaped to receive and provide kinematic coupling with top ends respectively of three or more lift pins.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

98.

SELECTIVE PROCESSING WITH ETCH RESIDUE-BASED INHIBITORS

      
Numéro d'application 18485749
Statut En instance
Date de dépôt 2023-10-12
Date de la première publication 2024-02-01
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sharma, Kashish
  • Kim, Taeseung
  • Tan, Samantha S.H.
  • Hausmann, Dennis M.

Abrégé

Selective deposition of a sacrificial material on a semiconductor substrate, the substrate having a surface with a plurality of regions of substrate materials having different selectivities for the sacrificial material, may be conducted such that substantial deposition of the sacrificial material occurs on a first region of the substrate surface, and no substantial deposition occurs on a second region of the substrate surface. Deposition of a non-sacrificial material may then be conducted on the substrate, such that substantial deposition of the non-sacrificial material occurs on the second region and no substantial deposition of the non-sacrificial material occurs on the first region. The sacrificial material may then be removed such that net deposition of the non-sacrificial material occurs substantially only on the second region.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/06 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

99.

CONTROL OF METALLIC CONTAMINATION FROM METAL-CONTAINING PHOTORESIST

      
Numéro d'application 18550733
Statut En instance
Date de dépôt 2022-03-31
Date de la première publication 2024-02-01
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Peter, Daniel
  • Tan, Samantha Siamhwa
  • Yu, Jengyi
  • Li, Da
  • Xue, Meng
  • Choi, Wook
  • Kim, Ji Yeon
  • Jensen, Alan J.
  • Labib, Shahd Hassan
  • Lee, Younghee
  • Zhao, Hongxiang

Abrégé

Various techniques for controlling metal-containing contamination on a semiconductor substrate are provided herein. Such techniques may involve one or more of a post-development bake treatment, a chemical treatment, a plasma treatment, a light treatment, and a backside and bevel edge clean. The techniques may be combined as desired for a particular application. In many cases, the techniques are used to address metal-containing contamination that is generated during a photoresist development operation.

Classes IPC  ?

  • G03F 7/40 - Traitement après le dépouillement selon l'image, p.ex. émaillage
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 7/36 - Dépouillement selon l'image non couvert par les groupes , p.ex. utilisant un courant gazeux, un plasma

100.

GAS MIXTURE INCLUDING HYDROGEN FLUORIDE, ALCOHOL AND AN ADDITIVE FOR PREVENTING STICTION OF AND/OR REPAIRING HIGH ASPECT RATIO STRUCTURES

      
Numéro d'application 18485249
Statut En instance
Date de dépôt 2023-10-11
Date de la première publication 2024-02-01
Propriétaire Lam Research AG (Autriche)
Inventeur(s)
  • Zhu, Ji
  • Melaet, Gerome Michel Dominique
  • Lavdovsky, Nathan
  • Dylewicz, Rafal
  • Mui, David

Abrégé

A gas mixture for treating a substrate in a substrate processing system includes hydrogen fluoride gas, a vapor of an alcohol, an additive consisting of a base, and a carrier gas. The gas mixture can be used to treat high aspect ratio (HAR) structures arranged on a surface of a substrate. A surface of the substrate may be spin rinsed using a first rinsing liquid. The first rinsing liquid is spun off from the surface of the substrate. The gas mixture is directed onto the surface of the substrate after the first rinsing liquid is dispensed.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • B08B 3/04 - Nettoyage impliquant le contact avec un liquide
  • B08B 3/08 - Nettoyage impliquant le contact avec un liquide le liquide ayant un effet chimique ou dissolvant
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  1     2     3     ...     56        Prochaine page