Spansion LLC

United States of America

Back to Profile

1-100 of 437 for Spansion LLC Sort by
Query
Aggregations
IP Type
        Patent 420
        Trademark 17
Jurisdiction
        World 331
        United States 97
        Europe 8
        Canada 1
IPC Class
H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor 78
H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM) 64
H01L 29/792 - Field-effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistor 53
H01L 29/788 - Field-effect transistors with field effect produced by an insulated gate with floating gate 39
G11C 16/06 - Auxiliary circuits, e.g. for writing into memory 22
See more
NICE Class
09 - Scientific and electric apparatus and instruments 17
42 - Scientific, technological and industrial services, research and design 5
37 - Construction and mining; installation and repair services 2
38 - Telecommunications services 2
  1     2     3     ...     5        Next Page

1.

Method for manufacturing a contact for a semiconductor component and related structure

      
Application Number 11109965
Grant Number 09202758
Status In Force
Filing Date 2005-04-19
First Publication Date 2015-12-01
Grant Date 2015-12-01
Owner SPANSION LLC (USA)
Inventor
  • Besser, Paul R.
  • Ngo, Minh Van
  • Wang, Connie Pin-Chin
  • Yin, Jinsong
  • Pham, Hieu T.

Abstract

A semiconductor component and a method for manufacturing the semiconductor component that are suitable for use with low temperature processing. A semiconductor substrate is provided and an optional layer of silicon nitride is formed on the semiconductor substrate using Atomic Layer Deposition (ALD). A layer of dielectric material is formed on the silicon nitride layer using Sub-Atmospheric Chemical Vapor Deposition (SACVD) at a temperature below about 450° C. When the optional layer of silicon nitride is not present, the SACVD dielectric material is formed on the semiconductor substrate. A contact hole having sidewalls is formed through the SACVD dielectric layer, through the silicon nitride layer, and exposes a portion of the semiconductor substrate. A layer of tungsten nitride is formed on the exposed portion of the semiconductor substrate and along the sidewalls of the contact hole. Tungsten is formed on the layer of tungsten nitride.

IPC Classes  ?

2.

CT-NOR DIFFERENTIAL BITLINE SENSING ARCHITECTURE

      
Application Number US2014071369
Publication Number 2015/095643
Status In Force
Filing Date 2014-12-19
Publication Date 2015-06-25
Owner SPANSION LLC (USA)
Inventor
  • Nazarian, Hagop
  • Fastow, Richard
  • Xue, Lei

Abstract

Providing for a non-volatile semiconductor memory architecture that achieves high read performance is described herein. In one aspect, an array of memory transistors arranged electrically in serial is configured to control a gate voltage of a pass transistor. The pass transistor, in turn, enables current flow between two metal bitlines of the semiconductor memory architecture. Accordingly, a relative voltage or relative current of the two metal bitlines can be measured and utilized to determine a program or erase state of a transistor of the serial array of transistors. In a particular aspect, a transistor with small capacitance is chosen for the pass transistor, resulting in a fast correspondence of the pass transistor gate voltage/current relative to transistor array current. This can equate to fast read times for the transistor array, based on differential sensing of the two metal bitlines.

IPC Classes  ?

  • G11C 5/06 - Arrangements for interconnecting storage elements electrically, e.g. by wiring

3.

GATE FORMATION MEMORY BY PLANARIZATION

      
Application Number US2014071524
Publication Number 2015/095717
Status In Force
Filing Date 2014-12-19
Publication Date 2015-06-25
Owner SPANSION LLC (USA)
Inventor
  • Fang, Shenqing
  • Chen, Chun
  • Matsumoto, David
  • Ramsbey, Mark, T.

Abstract

Semiconductor devices and methods of producing the devices are disclosed. The devices are formed by forming a gate structure on a substrate. The gate structure includes a charge trapping dielectric formed between the substrate and a first poly layer. A top dielectric is formed over the poly layer and a sidewall dielectric is formed on a side of the poly layer. A second poly layer is formed over the gate structure such that a portion of the second poly layer includes a vertical portion that is in contact with the sidewall dielectric and a top portion that is in contact with the top dielectric. The top portion of the second poly layer can then be removed through, for instance, planarization.

IPC Classes  ?

  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

4.

THREE-DIMENSIONAL CHARGE TRAPPING NAND CELL WITH DISCRETE CHARGE TRAPPING FILM

      
Application Number US2014060714
Publication Number 2015/057853
Status In Force
Filing Date 2014-10-15
Publication Date 2015-04-23
Owner SPANSION LLC (USA)
Inventor
  • Chen, Chun
  • Chang, Kuo-Tung
  • Fang, Shenqing

Abstract

A three-dimensional charge trap semiconductor device is constructed with alternating insulating and gate layers stacked over a substrate. During the manufacturing process, a channel hole is formed in the stack and the gate layers are recessed from the channel hole. Using the recessed topography of the gate layers, a charge trap layer can be deposited on the sidewalls of the channel hole and etched, leaving individual discrete charge trap layer sections in each recess. Filling the channel hole with channel material effectively provides a three-dimensional semiconductor device having individual charge trap layer sections for each memory cell.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor
  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)

5.

Apparatus and method for smart VCC trip point design for testability

      
Application Number 13972008
Grant Number 08981823
Status In Force
Filing Date 2013-08-21
First Publication Date 2015-02-26
Grant Date 2015-03-17
Owner Spansion LLC (USA)
Inventor
  • Ching-Kooi, Hor
  • Boon-Weng, Teoh
  • Mee-Choo, Ong

Abstract

An apparatus and method for testing is provided. An integrated circuit includes a comparison circuit that is arranged to trip based on a power supply signal reaching a trip point. The integrated circuit also includes an analog-to-digital converter that is arranged to convert the power supply signal into a digital signal. The integrated circuit also includes a storage component that stores a digital value associated with the digital signal, and provides the power supply value at an output pin of the integrated circuit. The integrated circuit includes a latch that is coupled between the analog-to-digital converter and the storage component. The latch is arranged to open when the comparison circuit trips, such that, when the comparison circuit trips, the storage component continues to store a digital value such that the digital value corresponds to the voltage associated with the power supply signal when the comparison circuit tripped.

IPC Classes  ?

  • H03L 7/00 - Automatic control of frequency or phase; Synchronisation
  • H03K 3/012 - Modifications of generator to improve response time or to decrease power consumption
  • H03K 5/24 - Circuits having more than one input and one output for comparing pulses or pulse trains with each other according to input signal characteristics, e.g. slope, integral the characteristic being amplitude

6.

SEMICONDUCTOR DEVICE AND ERASING METHOD THEREOF

      
Application Number IB2014000998
Publication Number 2015/004511
Status In Force
Filing Date 2014-03-19
Publication Date 2015-01-15
Owner SPANSION LLC (USA)
Inventor
  • Ogura, Hisanori
  • Ogawa, Hiroyuki

Abstract

[Problem] To provide a semiconductor storage device and a method of erasing the semiconductor storage device whereby it is possible to prevent the application of a high current when returning to a standby state after an erasing operation. [Solution] When erasing a P-type memory transistor including an N-type well, P-type first and second impurity regions formed within the well, a charge-storing layer formed upon the well between the first impurity region and the second impurity region, and a gate electrode formed upon the charge-storing layer, a negative voltage is applied to the gate electrode, a positive voltage is applied to the first impurity region and the well, and after the charge accumulated in the charge-storing layer is depleted, the first impurity region is set to a floating state, and the voltage applied to the well is reduced.

IPC Classes  ?

  • G11C 11/34 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices

7.

PROGRAMMABLE LATENCY COUNT TO ACHIEVE HIGHER MEMORY BANDWIDTH

      
Application Number US2014040873
Publication Number 2014/200772
Status In Force
Filing Date 2014-06-04
Publication Date 2014-12-18
Owner SPANSION LLC (USA)
Inventor
  • Hasan, Qamrul
  • Hopper, Dawn
  • Zitlaw, Clifford, Alan

Abstract

Disclosed herein are system, method and/or computer program product embodiments for increasing memory bandwidth when accessing a plurality of memory devices. An embodiment operates by executing, by at least one processor, a first read operation to read data from a first memory device following an access time for the first memory device. The embodiment further includes executing, by the at least one processor, a second read operation to read data from a second memory device following an access time for the second memory device. The access time for the second memory device is substantially the same or longer than the access time for the first memory device plus a time it takes to read data from the first memory device.

IPC Classes  ?

  • G06F 12/00 - Accessing, addressing or allocating within memory systems or architectures
  • G06F 9/44 - Arrangements for executing specific programs

8.

TRAVEO

      
Application Number 013446869
Status Registered
Filing Date 2014-11-07
Registration Date 2015-03-10
Owner Spansion LLC (USA)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Semiconductors; integrated circuits; microcontrollers; applications software, utility software, and drivers for functions associated with semiconductors, integrated circuits, and microcontrollers.

9.

CHARGE-TRAP NOR WITH SILICON-RICH NITRIDE AS A CHARGE TRAP LAYER

      
Application Number US2014034967
Publication Number 2014/176246
Status In Force
Filing Date 2014-04-22
Publication Date 2014-10-30
Owner SPANSION LLC (USA)
Inventor Fang, Shenqing

Abstract

A charge-trapping NOR (CT-NOR) memory device and methods of fabricating a CT- NOR memory device utilizing silicon-rich nitride (SiRN) in a charge-trapping (CT) layer of the CT-NOR memory device.

IPC Classes  ?

  • H01L 29/792 - Field-effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistor
  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

10.

DIE SEAL LAYOUT FOR DUAL DAMASCENE IN A SEMICONDUCTOR DEVICE

      
Application Number US2014034367
Publication Number 2014/172460
Status In Force
Filing Date 2014-04-16
Publication Date 2014-10-23
Owner SPANSION LLC (USA)
Inventor Wang, Fei

Abstract

A semiconductor may include several vias located in an active region and a die seal region. In the active region, a photoresist can be patterned with openings corresponding to the vias. In the die seal area, however, the photoresist can be patterned to overlap the vias. With this configuration, an underlayer etch will not affect an underlayer resist in the die seal area, allowing the die seal area to be disregarded for purposes of calculating a process window.

IPC Classes  ?

  • H01L 21/28 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 21/60 - Attaching leads or other conductive members, to be used for carrying current to or from the device in operation

11.

RESTORING ECC SYNDROME IN NON-VOLATILE MEMORY DEVICES

      
Application Number IB2014060643
Publication Number 2014/167535
Status In Force
Filing Date 2014-04-11
Publication Date 2014-10-16
Owner SPANSION LLC. (USA)
Inventor
  • Bloom, Ilan
  • Givant, Amichai
  • Yogev, Yoav
  • Shefi, Amit

Abstract

A method of restoring an ECC syndrome in a non-volatile memory device having memory cells arranged in a plurality of sectors within a memory cell array, the method includess identifying a first sector having a page with a disabled ECC (error correction code) flag; reading the value of all data bits in the page; calculating values for ECC bits in the page; and writing the data bit values and the calculated ECC bit values to a second sector in the memory cell array.

IPC Classes  ?

  • G11C 29/42 - Response verification devices using error correcting codes [ECC] or parity check

12.

ERASE VERIFICATION

      
Application Number US2014032495
Publication Number 2014/165492
Status In Force
Filing Date 2014-04-01
Publication Date 2014-10-09
Owner SPANSION LLC (USA)
Inventor Haddad, Sameer

Abstract

Embodiments described herein generally relate to verifying that a FLASH memory has been erased. In an embodiment, a method of erase verifying a memory column of a FLASH memory includes applying a pass gate voltage to even numbered memory transistors while applying an erase verify voltage to the odd numbered memory transistors. Applying a string current to the memory column allows a probe to determine if the string current is successfully traversing the memory column, and thus verifying that the odd numbered memory transistors were erased. The even numbered memory transistors are verified in the following cycle.

IPC Classes  ?

  • G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
  • G11C 16/34 - Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention

13.

AUTHENTICATION FOR RECOGNITION SYSTEMS

      
Application Number US2014032674
Publication Number 2014/165588
Status In Force
Filing Date 2014-04-02
Publication Date 2014-10-09
Owner SPANSION LLC (USA)
Inventor Bapat, Ojas

Abstract

Embodiments include a method, apparatus, and computer program product for authentication for speech recognition. The method can include sensing an authentication device with a target device. One or more decoded voice commands can be processed after verification of the authentication device by the target device. Further, one or more decoded voice commands can be executed by the target device.

IPC Classes  ?

  • G06F 21/34 - User authentication involving the use of external additional devices, e.g. dongles or smart cards
  • G10L 15/28 - Constructional details of speech recognition systems

14.

COMBINING OF RESULTS FROM MULTIPLE DECODERS

      
Application Number US2014032678
Publication Number 2014/165591
Status In Force
Filing Date 2014-04-02
Publication Date 2014-10-09
Owner SPANSION LLC (USA)
Inventor
  • Fastow, Richard
  • Olson, Jens
  • Liu, Chen
  • Bapat, Ojas

Abstract

Embodiments include a method, apparatus, and a computer program product for combining results from multiple decoders. For example, the method can include generating a network of paths based on one or more outputs associated with each of the multiple decoders. The network of paths can be scored to find an initial path with the highest path score based on scores associated with the one or more outputs, A weighting factor can be calculated for each of the multiple decoders based on a number of outputs from each of the multiple decoders included in the initial path with the highest path score. Further, the network of paths can be re-scored to find a new path with, the highest path score based on the scores associated with the one or more outputs and the weighting factor for each of the multiple decoders.

IPC Classes  ?

  • G10L 19/00 - Speech or audio signal analysis-synthesis techniques for redundancy reduction, e.g. in vocoders; Coding or decoding of speech or audio signals, using source filter models or psychoacoustic analysis

15.

MODIFIED LOCAL SEGMENTED SELF-BOOSTING OF MEMORY CELL CHANNELS

      
Application Number US2014032704
Publication Number 2014/165610
Status In Force
Filing Date 2014-04-02
Publication Date 2014-10-09
Owner SPANSION LLC (USA)
Inventor
  • Kaza, Swaroop
  • Suh, Youseok
  • Li, Di
  • Haddad, Sameer

Abstract

A method of programming a memory system by selectively applying a program voltage to a selected wordline connected to a memory transistor to be programmed. A first bias voltage is applied to a first wordline adjacent to the source side of the selected wordline. The first bias voltage is also applied to a second wordline adjacent to the drain side of the selected wordline. A second bias voltage is applied to a third wordline adjacent to the drain side of the second wordline. A third bias voltage is applied to a fourth wordline adjacent to the source side of the first wordline. A pass voltage is also applied to the remaining wordlines that do not have one of a bias voltage and a program voltage applied, the pass voltage a selected voltage level.

IPC Classes  ?

  • G11C 16/30 - Power supply circuits
  • G11C 16/34 - Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention

16.

PIPELINING IN A MEMORY

      
Application Number US2014021255
Publication Number 2014/138417
Status In Force
Filing Date 2014-03-06
Publication Date 2014-09-12
Owner SPANSION LLC (USA)
Inventor
  • Achter, Michael
  • Binboga, Evrim
  • Kaniz, Marufa
  • Mohd-Salleh, Murni

Abstract

A system including a memory cell array including a plurality of memory cells, and a writing device to generate multiple back-to-back write pulses to write to target memory cells from among the plurality of memory cells, the multiple back-to-back write pulses overlapping during an overlap duration, the overlap duration being adjustable based on a performance parameter of the memory cell array.

IPC Classes  ?

  • G11C 7/22 - Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management
  • G11C 7/10 - Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers

17.

NON-VOLATILE MEMORY BASED SYSTEM RAM

      
Application Number US2014019105
Publication Number 2014/134342
Status In Force
Filing Date 2014-02-27
Publication Date 2014-09-04
Owner SPANSION LLC (USA)
Inventor
  • Tzeng, Tzungren, Allan
  • Silverman, Jan

Abstract

A memory module includes an input/output (I/O) interface adapted to fit into a system random access memory (RAM) socket. The module also includes at least one controller coupled to the I/O interface, the controller comprising a plurality of registers, and a plurality of non-volatile memory devices coupled to the controller. In the module, when data is received at the I/O interface, the received data is stored using at least one of the plurality of registers and the controller performs one of a plurality of non-volatile memory operations on at least a portion of the plurality of non-volatile memory devices based on the received data.

IPC Classes  ?

  • G11C 7/10 - Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
  • G11C 16/06 - Auxiliary circuits, e.g. for writing into memory
  • G11C 11/4096 - Input/output [I/O] data management or control circuits, e.g. reading or writing circuits, I/O drivers or bit-line switches

18.

APPARATUS AND METHOD TO REDUCE BIT LINE DISTURBS

      
Application Number IB2014059046
Publication Number 2014/125451
Status In Force
Filing Date 2014-02-17
Publication Date 2014-08-21
Owner SPANSION LLC. (USA)
Inventor
  • Givant, Amichai
  • Randolph, Mark
  • Bloom, Ilan
  • Liu, Zhizheng

Abstract

A non-volatile memory device comprising a memory cell array including a plurality of non-volatile memory cells arranged in rows and columns, wherein memory cells arranged in a same row share a word line and memory cells arranged in a same column share a bit line; and at least an address decoder to provide a negative voltage to at least one non-accessed word line in said array when a programming or erasure voltage is provided along a shared bit line.

IPC Classes  ?

  • G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS

19.

Contact configuration for undertaking tests on circuit board

      
Application Number 14222446
Grant Number 08979550
Status In Force
Filing Date 2014-03-21
First Publication Date 2014-08-21
Grant Date 2015-03-17
Owner Spansion LLC (USA)
Inventor
  • Law, Che Seong
  • Edumban, Kaneasan

Abstract

An electronic structure (for example a reliability board or a cycling control module) has a body including a body portion insertable into a connector. A plurality of contact structures are provided on a side of the body portion, each contact structure comprising a first contact and a second contact spaced from the first contact, with the first and second contacts of each contact structure being aligned in the direction of insertion of the body portion into the connector. A corresponding second plurality of contact structures is provided on a side of the body portion opposite the first—mentioned side. These contacts connect with respective corresponding contacts of the connector.

IPC Classes  ?

  • H01R 12/00 - Structural associations of a plurality of mutually-insulated electrical connecting elements, specially adapted for printed circuits, e.g. printed circuit boards [PCB], flat or ribbon cables, or like generally planar structures, e.g. terminal strips, ; Coupling devices specially adapted for printed circuits, flat or ribbon cables, or like generally planar structures; Terminals specially adapted for contact with, or insertion into, printed circuits, flat or ribbon cables, or like generally planar structures
  • H01R 13/04 - Pins or blades for co-operation with sockets
  • G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer
  • H05K 1/02 - Printed circuits - Details
  • H05K 1/11 - Printed elements for providing electric connections to or between printed circuits

20.

MEMORY DEVICE WITH SOURCE-SIDE SENSING

      
Application Number IB2014059049
Publication Number 2014/125453
Status In Force
Filing Date 2014-02-17
Publication Date 2014-08-21
Owner SPANSION LLC (USA)
Inventor
  • Kushnarenko, Alexander
  • Betser, Yoram

Abstract

A source-sensing configuration for non-volatile memory devices to simultaneously read 2 bits in two different memory cells sharing a same word line is disclosed. In a first cell arrangement, a drain of a first read cell is biased and its source and that of two adjacent cells in a direction towards the second read cell are connected through source bit lines to a source sense amplifier. In a second cell arrangement, the drain of the second read cell is biased and its source and that of its two adjacent cells in a direction towards the first read cell are connected through source bit lines to a source sense amplifier. A memory cell acts as a cell pipe and joins together the first and second cell arrangements. Driving all six source bit lines simultaneously allows the 2 bits to be simultaneously read while maintaining currents due to pipe effect substantially minimized.

IPC Classes  ?

  • G11C 16/26 - Sensing or reading circuits; Data output circuits

21.

IMPROVED NON-VOLATILE MEMORY DEVICE

      
Application Number IB2014058829
Publication Number 2014/122601
Status In Force
Filing Date 2014-02-06
Publication Date 2014-08-14
Owner SPANSION LLC. (USA)
Inventor
  • Kalderon, Ifat Nitsan
  • Willis Iii, Max Steven

Abstract

A non-volatile memory device includes a memory cell array having memory cells distributed among a plurality of sectors and a controller operable to program, read, and erase memory cells in said memory array, the controller further operable to generate and store EPLI values for programming a number of EPLI bits in one of the plurality of sectors with the stored EPLI values. The memory device additionally include a comparator to compare the stored EPLI values with EPLI values programmed in the EPLI bits.

IPC Classes  ?

  • G06F 13/00 - Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units

22.

NON-VOLATILE MEMORY WITH SILICIDED BIT LINE CONTACTS

      
Application Number US2014013847
Publication Number 2014/120921
Status In Force
Filing Date 2014-01-30
Publication Date 2014-08-07
Owner SPANSION LLC (USA)
Inventor
  • Lu, Ching-Huang
  • Chan, Simon, Siu-Sing
  • Shiraiwa, Hidehiko
  • Xue, Lei

Abstract

An approach to use silicided bit line contacts that do not short to the underlying substrate in memory devices. The approach provides for silicide formation in the bit line contact area, using a process that benefits from being self-aligned to the oxide-nitride-oxide (ONO) nitride edges, A further benefit of the approach is that the bit line contact implant and rapid temperature anneal process can be eliminated. This approach is applicable to embedded flash, integrating high density devices and advanced logic processes.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor
  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)

23.

MANUFACTURING OF FET DEVICES HAVING LIGHTLY DOPED DRAIN AND SOURCE REGIONS

      
Application Number US2014013853
Publication Number 2014/120924
Status In Force
Filing Date 2014-01-30
Publication Date 2014-08-07
Owner SPANSION LLC (USA)
Inventor
  • Fang, Shenqing
  • Kim, Unsoon

Abstract

Embodiments described herein generally relate to methods of manufacturing n-type lightly doped drains and p-type lightly doped drains. In one method, a photoresist mask is used to etch a transistor, and the mask is left in place (i.e., reused) to protect other devices and poly while a high energy implantation is performed in alignment with the photoresist mask, such that the implantation is adjacent to the etched transistor. One example of a high energy implantation is forming lightly doped source and drain regions. This technique of reusing a photoresist mask can be employed for creating lightly doped source and drain regions of one conductivity followed by using the technique a second time to create lightly doped source and drain regions of the complementary conductivity type. This may prevent use of at least one hard mask during manufacturing.

IPC Classes  ?

  • H01L 21/336 - Field-effect transistors with an insulated gate
  • H01L 21/265 - Bombardment with wave or particle radiation with high-energy radiation producing ion implantation

24.

HYPERFLASH

      
Application Number 013154323
Status Registered
Filing Date 2014-08-06
Registration Date 2014-12-09
Owner Spansion LLC (USA)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Non-volatile memory devices, namely, flash memory semiconductor chips and mass storage semiconductor chips; applications and utility software for functions associated with memory devices, namely, code and data management software, file system management software, flash memory semiconductor chip drivers, mass storage semiconductor chip drivers, flash media drivers, and block drivers.

25.

HYPERRAM

      
Application Number 013154331
Status Registered
Filing Date 2014-08-06
Registration Date 2017-04-15
Owner Spansion LLC (USA)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Volatile memory devices, namely, random-access memory semiconductor chips; applications and utility software for functions associated with random-access volatile memory devices, namely, code and data management software and random-access memory semiconductor chip drivers.

26.

HYPERBUS

      
Application Number 013154349
Status Registered
Filing Date 2014-08-06
Registration Date 2014-12-09
Owner Spansion LLC (USA)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Interfaces for high-speed throughput of data between a processor or microcontroller and other semiconductor devices; interfaces for high speed throughput or data between a processor or microcontroller and peripheral components.

27.

PROGRAMMABLE AND FLEXIBLE REFERENCE CELL SELECTION METHOD FOR MEMORY DEVICES

      
Application Number US2014010489
Publication Number 2014/110030
Status In Force
Filing Date 2014-01-07
Publication Date 2014-07-17
Owner SPANSION LLC (USA)
Inventor
  • Achter, Michael
  • Binboga, Evrim
  • Kuo, Harry

Abstract

Systems, methods, and computer program products for programmable reference cell selection for flash memory are disclosed. An exemplary system includes an array of interconnected cells and a flexible decoder. The array is configured to receive a selection signal as input, select a cell based upon the selection signal, and provide an output based on the selected cell. The flexible decoder is configured to receive an input, generate a selection signal based on the input and one or more characteristics of the array of interconnected cells, and provide the selection signal to the array of interconnected cells.

IPC Classes  ?

  • G11C 7/10 - Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers

28.

DISTRIBUTED SPEECH RECOGNITION SYSTEM

      
Application Number US2014010514
Publication Number 2014/110041
Status In Force
Filing Date 2014-01-07
Publication Date 2014-07-17
Owner SPANSION LLC (USA)
Inventor Bapat, Ojas, Ashok

Abstract

Embodiments of the present invention include an apparatus, method, and system for speech recognition of a voice command. The method can include receiving data representing a voice command, generating a list of targets based on the state information of each target within the system, and selecting a target from the list of targets, based on the voice command.

IPC Classes  ?

  • G10L 15/28 - Constructional details of speech recognition systems

29.

MULTI-CHIP PACKAGE ASSEMBLY WITH IMPROVED BOND WIRE SEPARATION

      
Application Number US2014010299
Publication Number 2014/107647
Status In Force
Filing Date 2014-01-06
Publication Date 2014-07-10
Owner SPANSION LLC (USA)
Inventor
  • Tan, Kiah, Ling
  • Foong, Sally, Yin Lye
  • Changhak, Lee
  • Lai, Chin, Nguk

Abstract

A multi-chip package is disclosed that has a construction capable of preventing and/or reducing electrical shorts caused by shifts in bond wires. The multi-chip package includes a die attach formed between connection points of a bond wire. The die attach is made of a non- conductive material and can be constructed so as to support or encompass a portion of the bond wire. By contacting the bond wire, the die attach restricts the motion of the bond wire by acting as a physical barrier to the bond wire's movement and/or as a source of friction. In this manner, undesired position shifts of the bond wires can be prevented, reducing device failures and allowing for improved manufacturing allowances.

IPC Classes  ?

  • H01L 23/12 - Mountings, e.g. non-detachable insulating substrates

30.

BURIED HARD MASK FOR EMBEDDED SEMICONDUCTOR DEVICE PATTERNING

      
Application Number US2014010301
Publication Number 2014/107649
Status In Force
Filing Date 2014-01-06
Publication Date 2014-07-10
Owner SPANSION LLC (USA)
Inventor
  • Bell, Scott, A.
  • Hui, Angela, Tai
  • Chan, Simon, S.

Abstract

Methods and apparatus for manufacturing semiconductor devices, and such semiconductor devices, are described. According to various aspects of the disclosure, a semiconductor device can be manufactured by forming a core region of the semiconductor device and forming a periphery region of the semiconductor device. A first polysilicon region can then be formed over the core and periphery regions of the semiconductor device. A first mask is formed on the first poly silicon layer and a second polysilicon layer is disposed such that the second polysilicon layer covers the first mask. A second mask can then be formed on the second polysilicon layer. After forming the second mask, portions of the first and second polysilicon layers that are uncovered by either the first or second masks are removed.

IPC Classes  ?

  • H01L 21/027 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or

31.

DESIGN FOR TEST (DFT) READ SPEED THROUGH TRANSITION DETECTOR IN BUILT-IN SELF-TEST (BIST) SORT

      
Application Number US2013077835
Publication Number 2014/105967
Status In Force
Filing Date 2013-12-26
Publication Date 2014-07-03
Owner SPANSION LLC (USA)
Inventor
  • Ong, Mee-Choo
  • Ch'Ng, Sheau-Yang
  • Teoh, Boon-Weng
  • Lau, Sie Wei, Henry
  • Beh, Jih, Hong
  • Ong, Wei-Kent

Abstract

A memory is disclosed that can operate in a normal mode of operation or a testing mode of operation. In the testing mode of operation, the memory can measure various benchmarks of performance, such as read speed. The memory can perform an asynchronous read operation to read a word of electronic data that corresponds to an address or a page read operation in which multiple asynchronous read operations are performed to read multiple words of electronic data, also referred to as a page of electronic data, that correspond to multiple addresses. The memory can measure a time required, referred to as read speed, to read the word of electronic data or the multiple words of electronic data from the memory. In the normal mode of operation, the memory can perform the asynchronous read operation, the page read operation, an asynchronous write operation in which a word of electronic data is stored into the memory that correspond to the address, or a page write operation in which a page electronic data is stored into the memory that correspond to the multiple addresses.

IPC Classes  ?

  • G11C 7/22 - Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management
  • G11C 7/10 - Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers

32.

HISTOGRAM BASED PRE-PRUNING SCHEME FOR ACTIVE HMMS

      
Application Number US2013075309
Publication Number 2014/099740
Status In Force
Filing Date 2013-12-16
Publication Date 2014-06-26
Owner SPANSION LLC (USA)
Inventor Bapat, Ojas A.

Abstract

Embodiments of the present invention include an acoustic processing device, a method for acoustic signal processing, and a speech recognition system. The speech processing device can include a processing unit, a histogram pruning unit, and a pre-pruning unit. The processing unit is configured to calculate one or more Hidden Markov Model (HMM) pruning thresholds. The histogram pruning unit is configured to prune one or more HMM states to generate one or more active HMM states. The pruning is based on the one or more pruning thresholds. The pre-pruning unit is configured to prune the one or more active HMM states based on an adjustable pre-pruning threshold. Further, the adjustable pre-pruning threshold is based on the one or more pruning thresholds.

IPC Classes  ?

  • G10L 15/14 - Speech classification or search using statistical models, e.g. Hidden Markov Models [HMM]
  • G10L 15/28 - Constructional details of speech recognition systems

33.

HYBRID HASHING SCHEME FOR ACTIVE HMMS

      
Application Number US2013075313
Publication Number 2014/099742
Status In Force
Filing Date 2013-12-16
Publication Date 2014-06-26
Owner SPANSION LLC (USA)
Inventor
  • Fastow, Richard, M.
  • Bapat, Ojas, A.

Abstract

Embodiments of the present invention include a data storage device and a method for storing data in a hash table. The data storage device can include a first memory device, a second memory device, and a processing device. The first memory device is configured to store one or more data elements. The second memory device is configured to store one or more status bits at one or more respective table indices. In addition, each of the table indices is mapped to a corresponding table index in the first memory device. The processing device is configured to calculate one or more hash values based on the one or more data elements.

IPC Classes  ?

  • G06F 17/00 - Digital computing or data processing equipment or methods, specially adapted for specific functions
  • G06F 17/30 - Information retrieval; Database structures therefor

34.

PHONEME SCORE ACCELERATOR

      
Application Number US2013076149
Publication Number 2014/100195
Status In Force
Filing Date 2013-12-18
Publication Date 2014-06-26
Owner SPANSION LLC (USA)
Inventor
  • Fastow, Richard, M.
  • Bapat, Ojas, A.
  • Olson, Jens

Abstract

Embodiments of the present invention include an acoustic processing device and a method for traversing a Hidden Markov Model (HMM). The acoustic processing device can include a senone scoring unit (SSU), a memory device, a HMM module, and an interface module. The SSU is configured to receive feature vectors from an external computing device and to calculate senones. The memory device is configured to store the senone scores and HMM information, where the HMM information includes HMM IDs and HMM state scores. The HMM module is configured to traverse the HMM based on the senone scores and the HMM information. Further, the interface module is configured to transfer one or more HMM scoring requests from the external computing device to the HMM module and to transfer the HMM state scores to the external computing device.

IPC Classes  ?

  • G10L 15/14 - Speech classification or search using statistical models, e.g. Hidden Markov Models [HMM]
  • G10L 15/28 - Constructional details of speech recognition systems

35.

Chip positioning in multi-chip package

      
Application Number 13724897
Grant Number 08901756
Status In Force
Filing Date 2012-12-21
First Publication Date 2014-06-26
Grant Date 2014-12-02
Owner Spansion LLC (USA)
Inventor
  • Foong, Sally
  • Gaddamraja, Seshasayee
  • Beng, Teoh Lai
  • Chin, Lai Nguk
  • Aungkul, Suthakavatin

Abstract

Embodiments of the present invention include a substrate package, a method for multi-chip packaging, and a multi-chip package. For example, the substrate package includes a first set of reference markers and a second set of reference markers. The first set of reference markers is disposed on the substrate package, where the first set of reference markers is configured to provide a first alignment for positioning a first integrated circuit (IC) and a second alignment for positioning a second IC on the substrate package. Further, the second set of reference markers is disposed at a different location on the substrate package than the first set of reference markers, where the second set of reference markers is configured to provide confirmation of the first alignment and the second alignment.

IPC Classes  ?

  • H01L 23/02 - Containers; Seals
  • H01L 21/77 - Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns

36.

MEMORY DEVICE WITH INTERNAL DATA PROCESSING LOGIC

      
Application Number US2013076140
Publication Number 2014/100188
Status In Force
Filing Date 2013-12-18
Publication Date 2014-06-26
Owner SPANSION LLC (USA)
Inventor Mcclain, Mark, Alan

Abstract

Embodiments of the present invention include an apparatus, method, and system for integrating data processing logic with memory. An embodiment of a memory integrated circuit is designed to execute a task on the data in a memory array within a memory integrated circuit. The memory integrated circuit can include a memory array, a data access component, a data holding component, and a logic component. The data access component can be coupled to the memory array and configured to provide an address to the memory array. The data holding component can be coupled to the memory array and configured to temporarily store the data in the memory array located at the address. The logic component can be coupled to both the data access component and the data holding component, and be configured to execute a task using data received from the data holding component. The logic component can include combinational or sequential logic.

IPC Classes  ?

  • G06F 12/02 - Addressing or allocation; Relocation

37.

CHIP POSITIONING IN MULTI-CHIP PACKAGE

      
Application Number US2013076154
Publication Number 2014/100197
Status In Force
Filing Date 2013-12-18
Publication Date 2014-06-26
Owner SPANSION LLC (USA)
Inventor
  • Foong, Sally
  • Gaddamraja, Seshasayee
  • Beng, Teoh, Lai
  • Chin, Lai, Nguk
  • Aungkul, Suthakavatin

Abstract

Embodiments of the present invention include a substrate package, a method for multi-chip packaging, and a multi-chip package. For example, the substrate package includes a first set of reference markers and a second set of reference markers. The first set of reference markers is disposed on the substrate package, where the first set of reference markers is configured to provide a first alignment for positioning a first integrated circuit (IC) and a second alignment for positioning a second IC on the substrate package. Further, the second set of reference markers is disposed at a different location on the substrate package than the first set of reference markers, where the second set of reference markers is configured to provide confirmation of the first alignment and the second alignment.

IPC Classes  ?

  • H01L 23/12 - Mountings, e.g. non-detachable insulating substrates

38.

INTEGRATED CIRCUITS WITH NON-VOLATILE MEMORY AND METHODS FOR MANUFACTURE

      
Application Number US2013073327
Publication Number 2014/093126
Status In Force
Filing Date 2013-12-05
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Chang, Kuo Tung
  • Chen, Chun
  • Fang, Shenqing

Abstract

Semiconductor devices and the manufacture of such semiconductor devices are described. According to various aspects of the disclosure, a semiconductor device can include a memory region, a first logic region, and a second logic region. A select gate can be formed in the memory region of the device and a first logic gate formed in the logic region. A charge trapping dielectric can then be disposed and removed from a second logic region. A gate conductor layer can then be disposed on the device and etched to define a memory gate on the sidewall of the select gate and a second logic gate in the second logic region.

IPC Classes  ?

  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

39.

MEMORY FIRST PROCESS FLOW AND DEVICE

      
Application Number US2013074390
Publication Number 2014/093490
Status In Force
Filing Date 2013-12-11
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Fang, Shenqing
  • Chen, Chun
  • Kim, Unsoon
  • Ramsbey, Mark
  • Chang, Kuo, Tung
  • Haddad, Sameer
  • Pak, James

Abstract

Semiconductor devices and methods of manufacturing such devices are described herein. According to embodiments, a semiconductor device includes a memory gate disposed in a first region of the semiconductor device. The memory gate may include a first gate conductor layer disposed over a charge trapping dielectric. A select gate may be disposed in the first region of the semiconductor device adjacent to a side wall of the memory gate. A side wall dielectric may be disposed between the sidewall of the memory gate and the select gate. Additionally, the device may include a logic gate disposed in a second region of the semiconductor device that comprises the first gate conductor layer.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

40.

HIGH VOLTAGE GATE FORMATION

      
Application Number US2013074651
Publication Number 2014/093611
Status In Force
Filing Date 2013-12-12
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Fang, Shenqing
  • Chen, Chun

Abstract

Embodiments described herein generally relate to methods of manufacturing charge- trapping memory by patterning the high voltage gates before other gates are formed. One advantage of such an approach is that a thin poly layer may be used to form memory and low voltage gates while protecting high voltage gates from implant penetration. One approach to accomplishing this is to dispose the layer of poly, and then dispose a mask and a thick resist to pattern the high voltage gates. In this manner, the high voltage gates are formed before either the low voltage gates or the memory cells.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate

41.

CHARGE TRAPPING DEVICE WITH IMPROVED SELECT GATE TO MEMORY GATE ISOLATION

      
Application Number US2013074652
Publication Number 2014/093612
Status In Force
Filing Date 2013-12-12
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Ramsbey, Mark
  • Pak, James

Abstract

Embodiments described herein generally relate to charge-trapping memory with improved isolation between a select gate and a memory gate. The isolation is improved because the charge trapping layer is not present in the junction between the select gate and the memory gate. The methods described herein additionally allow insulation to be disposed between the select gate and the memory gate.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

42.

MEMORY GATE LANDING PAD MADE FROM DUMMY FEATURES

      
Application Number US2013074659
Publication Number 2014/093617
Status In Force
Filing Date 2013-12-12
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Ramsbey, Mark
  • Chen, Chun
  • Kim, Unsoon
  • Fang, Shenqing

Abstract

Embodiments described herein generally relate to landing gate pads for contacts and manufacturing methods therefor. A bridge is formed between two features to allow a contact to be disposed, at least partially, on the bridge. Landing the contact on the bridge avoids additional manufacturing steps to create a target for a contact.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

43.

USE DISPOSABLE GATE CAP TO FORM TRANSISTORS, AND SPLIT GATE CHARGE TRAPPING MEMORY CELLS

      
Application Number US2013074710
Publication Number 2014/093644
Status In Force
Filing Date 2013-12-12
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Chen, Chun
  • Ramsbey, Mark
  • Fang, Shenqing

Abstract

A semiconductor device and method of making such device is presented herein. The method includes disposing a gate layer over a dielectric layer on a substrate and further disposing a cap layer over the gate layer. A first transistor gate is defined having an initial thickness substantially equal to a combined thickness of the cap layer and the gate layer. A first doped region is formed in the substrate adjacent to the first transistor gate. The cap layer is subsequently removed and a second transistor gate is defined having a thickness substantially equal to the thickness of the gate layer. Afterwards, a second doped region is formed in the substrate adjacent to the second transistor gate. The first doped region extends deeper in the substrate than the second doped region, and a final thickness of the first transistor gate is substantially equal to the thickness of the second transistor gate.

IPC Classes  ?

  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

44.

CHARGE TRAPPING SPLIT GATE DEVICE AND METHOD OF FABRICATING SAME

      
Application Number US2013074724
Publication Number 2014/093651
Status In Force
Filing Date 2013-12-12
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Chen, Chun
  • Fang, Shenqing
  • Kim, Unsoon
  • Ramsbey, Mark
  • Chang, Kuo, Tung
  • Haddad, Sameer

Abstract

Embodiments provide a split gate device, methods for fabricating a split gate device, and integrated methods for fabricating a split gate device and a periphery device. In an embodiment, the split gate device is a charge trapping split gate device, which includes a charge trapping layer. In another embodiment, the split gate device is a non-volatile memory cell, which can be formed according to embodiments as standalone or embedded with a periphery device.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

45.

CHARGE TRAPPING SPLIT GATE EMBEDDED FLASH MEMORY AND ASSOCIATED METHODS

      
Application Number US2013074386
Publication Number 2014/093488
Status In Force
Filing Date 2013-12-11
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Ramsbey, Mark
  • Chen, Chun
  • Haddad, Sameer
  • Chang, Kuo, Tung
  • Kim, Unsoon
  • Fang, Shenqing
  • Sun, Yu
  • Gabriel, Calvin

Abstract

Semiconductor devices and methods of manufacturing such devices are described herein. According to embodiments, the semiconductor device can be made by forming an dielectric layer at a first region and at a second region of a semiconductor substrate. A gate conductor layer is disposed over the dielectric formed in the first and the second regions of the semiconductor substrate, and the second region is masked. A split gate memory cell is formed in the first region of the semiconductor substrate with a first gate length. The first region is then masked, and the second region is etched to define a logic gate that has a second gate length. The first and second gate lengths can be different.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

46.

THREE DIMENSIONAL CAPACITOR

      
Application Number US2013074713
Publication Number 2014/093647
Status In Force
Filing Date 2013-12-12
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Ramsbey, Mark
  • Kim, Unsoon
  • Fang, Shenqing
  • Chen, Chun
  • Chang, Kuo Tung

Abstract

Integrated capacitor structures and methods for fabricating same are provided. In an embodiment, the integrated capacitor structures exploit the capacitance that can be formed in a plane that is perpendicular to that of the substrate, resulting in three-dimensional capacitor structures. This allows for integrated capacitor structures with higher capacitance to be formed over relatively small substrate areas. Embodiments are suitable for use by charge pumps and can be fabricated to have more or less capacitance as desired by the application.

IPC Classes  ?

  • H01L 27/108 - Dynamic random access memory structures

47.

PROCESS CHARGING PROTECTION FOR SPLIT GATE CHARGE TRAPPING FLASH

      
Application Number US2013074732
Publication Number 2014/093654
Status In Force
Filing Date 2013-12-12
Publication Date 2014-06-19
Owner SPANSION LLC (USA)
Inventor
  • Chen, Chun
  • Haddad, Sameer
  • Chang, Kuo, Tung
  • Ramsbey, Mark
  • Kim, Unsoon
  • Fang, Shenqing

Abstract

A semiconductor device and method of making such device is presented herein. The semiconductor device includes a plurality of memory cells, a plurality of p-n junctions, and a metal trace of a first metal layer. Each of the plurality of memory cells includes a first gate disposed over a first dielectric, a second gate disposed over a second dielectric and adjacent to a sidewall of the first gate, a first doped region in the substrate adjacent to the first gate, and a second doped region in the substrate adjacent to the second gate. The plurality of p-n junctions are electrically isolated from the doped regions of each memory cell. The metal trace extends along a single plane between a via to the second gate of at least one memory cell in the plurality of memory cells, and a via to a p-n junction within the plurality of p-n junctions.

IPC Classes  ?

  • H01L 29/78 - Field-effect transistors with field effect produced by an insulated gate
  • H01L 21/336 - Field-effect transistors with an insulated gate
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

48.

INTER-LAYER INSULATOR FOR ELECTRONIC DEVICES AND APPARATUS FOR FORMING SAME

      
Application Number US2013070309
Publication Number 2014/081634
Status In Force
Filing Date 2013-11-15
Publication Date 2014-05-30
Owner SPANSION LLC (USA)
Inventor
  • Sugino, Rinji
  • Wang, Fei

Abstract

A semiconducting device utilizing air-gaps for inter-layer insulation and methods of producing the device are described. The device may be produced by forming a sacrificial layer between two structures. A porous membrane layer is then formed over the sacrificial layer. The membrane layer is porous to an etch product, which allows for the subsequent etching of the sacrificial layer leaving an air gap between the device structures and the membrane intact. The device may also include a cap layer formed above the device structures and the membrane.

IPC Classes  ?

  • H01L 21/31 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers; Selection of materials for these layers

49.

FORMING A SUBSTANTIALLY UNIFORM WING HEIGHT AMONG ELEMENTS IN A CHARGE TRAP SEMICONDUCTOR DEVICE

      
Application Number US2013071214
Publication Number 2014/081926
Status In Force
Filing Date 2013-11-21
Publication Date 2014-05-30
Owner SPANSION LLC (USA)
Inventor
  • Hui, Angela, Tai
  • Matsumoto, David
  • Chen, Tung-Sheng

Abstract

During formation of a charge trap separation in a semiconductor device, an organic material is formed over a plurality of cells. This organic material is selectively removed in order to create a flat upper surface. An etching process is performed to remove the organic material as well as a charge trap layer formed over the plurality of cells, thereby exposing underlying first oxide layers in each of the cells and forming charge trap separation. Further, because of the selective removal step, the etch results in substantially uniform wing heights among the separated cells.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

50.

FORMING CHARGE TRAP SEPARATION IN A FLASH MEMORY SEMICONDUCTOR DEVICE

      
Application Number US2013071217
Publication Number 2014/081928
Status In Force
Filing Date 2013-11-21
Publication Date 2014-05-30
Owner SPANSION LLC (USA)
Inventor Hui, Angela, Tai

Abstract

During formation of a charge trap separation in a semiconductor device, a polymer deposition is formed in a reactor using a first chemistry. In a following step, a second chemistry can be used to etch the polymer deposition in the reactor. The same or similar second chemistry can be used in a second etching step to expose a first oxide layer in each of the cells of the semiconductor device and to form a flat upper surface. This additional etch step can also be performed by the reactor, thereby reducing the number of machines required in the formation process.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

51.

Forming charge trap separation in a flash memory semiconductor device

      
Application Number 13685286
Grant Number 08975185
Status In Force
Filing Date 2012-11-26
First Publication Date 2014-05-29
Grant Date 2015-03-10
Owner Spansion, LLC (USA)
Inventor Hui, Angela Tai

Abstract

During formation of a charge trap separation in a semiconductor device, a polymer deposition is formed in a reactor using a first chemistry. In a following step, a second chemistry can be used to etch the polymer deposition in the reactor. The same or similar second chemistry can be used in a second etching step to expose a first oxide layer in each of the cells of the semiconductor device and to form a flat upper surface. This additional etch step can also be performed by the reactor, thereby reducing the number of machines required in the formation process.

IPC Classes  ?

  • H01L 21/311 - Etching the insulating layers
  • H01L 21/28 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

52.

DISTRIBUTION OF GAS OVER A SEMICONDUCTOR WAFER IN BATCH PROCESSING

      
Application Number US2013070057
Publication Number 2014/078508
Status In Force
Filing Date 2013-11-14
Publication Date 2014-05-22
Owner SPANSION LLC (USA)
Inventor Sugino, Rinji

Abstract

A method and apparatus to evenly distribute gas over a wafer in batch processing. Several techniques are disclosed, such as, but not limited to, angling an injector to distribute gas towards a proximate edge of the wafer, and/or reducing the amount of overlap in the center of the wafer of gas from subsequent gas injections.

IPC Classes  ?

  • H01L 21/205 - Deposition of semiconductor materials on a substrate, e.g. epitaxial growth using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
  • H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof

53.

DATA REFRESH IN NON-VOLATILE MEMORY

      
Application Number US2013070561
Publication Number 2014/078788
Status In Force
Filing Date 2013-11-18
Publication Date 2014-05-22
Owner SPANSION LLC (USA)
Inventor
  • Kim, Yong, K.
  • Wong, Keith, H.
  • Mcclain, Mark, A.

Abstract

A. method of reducing read errors in a non-volatile memory device that result from bit- line or word-line disturb conditions generated by erase operations includes selecting a subset of a memory array for refresh after each erase operation. A pointer to the refresh target section is updated as part of the method to direct the refresh operation to the appropriate subset of the memory array. Refresh may be performed subsequent to an erase operation or concurrently therewith. By distributing the time consumed by refresh operations over many erase operations so the relative refresh time for any one erase becomes small.

IPC Classes  ?

  • G11C 16/06 - Auxiliary circuits, e.g. for writing into memory
  • G11C 16/34 - Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
  • G11C 16/10 - Programming or data input circuits

54.

METHOD TO IMPROVE CHARGE TRAP FLASH MEMORY CORE CELL PERFORMANCE AND RELIABILITY

      
Application Number US2013070579
Publication Number 2014/078795
Status In Force
Filing Date 2013-11-18
Publication Date 2014-05-22
Owner SPANSION LLC (USA)
Inventor
  • Chen, Tung-Sheng
  • Fang, Shenqing

Abstract

A semiconductor processing method to provide a high quality bottom oxide layer and top oxide layer in a charged-trapping NAND and NOR flash memory. Both the bottom oxide layer and the top oxide layer of NAND and NOR flash memory determines array device performance and reliability. The method describes overcomes the corner thinning issue and the poor top oxide quality that results from the traditional oxidation approach of using pre-deposited silicon-rich nitride.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

55.

METHOD AND SYSTEM FOR PROCESSING A WAFER

      
Application Number US2013070141
Publication Number 2014/078565
Status In Force
Filing Date 2013-11-14
Publication Date 2014-05-22
Owner SPANSION LLC (USA)
Inventor Adams Iii, Ernest, D.

Abstract

A method of processing a wafer in a production tool includes receiving a wafer at a process tool, the wafer associated with a wafer process history, acquiring data associate with wafers processed by the process tool and having the wafer process history, when the amount of acquired data is insufficient, acquiring additional data associated with wafers processed by the process tool and having a process history differing from the wafer process history by a single factor, when the amount of acquired data is sufficient, determining a process parameter using the acquired data, and processing the wafer with the production tool using the process parameter.

IPC Classes  ?

  • H01L 21/00 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

56.

TRAVEO

      
Serial Number 86283758
Status Registered
Filing Date 2014-05-16
Registration Date 2018-03-20
Owner Spansion LLC ()
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Semiconductors; integrated circuits; microcontrollers; applications software, utility software, and drivers in the nature of software, all of such software for functions associated with semiconductors, integrated circuits, and microcontrollers, namely, applications programs, software for use in industrial and manufactured goods' electronic systems, middleware that provides command and response translation between a microcontroller and network components, software tools for generation and configuration of run time software, programming, debugging and diagnostics, and drivers that function as interfaces between higher level software components and hardware resources

57.

WEAR LEVELING IN FLASH MEMORY DEVICES WITH TRIM COMMANDS

      
Application Number US2013068289
Publication Number 2014/074449
Status In Force
Filing Date 2013-11-04
Publication Date 2014-05-15
Owner SPANSION LLC (USA)
Inventor
  • Okada, Shinsuke
  • Ise, Yuichi
  • Nakata, Daisuke

Abstract

Systems and methods are provided to implement a memory device that includes a memory array having a plurality of sectors, a non-volatile memory that stores sector state information, and a memory controller that performs wear leveling according to the sector state information. The sector state information can specify respective states for respective sectors of the plurality of sectors of the memory array. The memory controller, based on the states of respective sectors, determines whether or not to swap contents of the sectors during wear leveling, thereby reducing write amplification effects.

IPC Classes  ?

  • G06F 12/00 - Accessing, addressing or allocating within memory systems or architectures

58.

RECOGNITION OF SPEECH WITH DIFFERENT ACCENTS

      
Application Number US2013068452
Publication Number 2014/074498
Status In Force
Filing Date 2013-11-05
Publication Date 2014-05-15
Owner SPANSION LLC (USA)
Inventor
  • Liu, Chen
  • Fastow, Richard

Abstract

Computer-based speech recognition can be improved by recognizing words with an accurate accent model. In order to provide a large number of possible accents, while providing real-time speech recognition, a language tree data structure of possible accents is provided in one embodiment such that a computerized speech recognition system can benefit from choosing among accent categories when searching for an appropriate accent model for speech recognition.

IPC Classes  ?

  • G10L 15/187 - Phonemic context, e.g. pronunciation rules, phonotactical constraints or phoneme n-grams

59.

SENONE SCORING FOR MULTIPLE INPUT STREAMS

      
Application Number US2013068449
Publication Number 2014/074497
Status In Force
Filing Date 2013-11-05
Publication Date 2014-05-15
Owner SPANSION LLC (USA)
Inventor Bapat, Ojas, A.

Abstract

Embodiments of the present invention include an apparatus, method, and system for calculating senone scores for multiple concurrent input speech streams. The method can include the following: receiving one or more feature vectors from one or more input streams; accessing the acoustic model one senone at a time; and calculating separate senone scores corresponding to each incoming feature vector. The calculation uses a single read access to the acoustic model for a single senone and calculates a set of separate senone scores for the one or more feature vectors, before proceeding to the next senone in the acoustic model.

IPC Classes  ?

  • G10L 15/02 - Feature extraction for speech recognition; Selection of recognition unit
  • G10L 15/14 - Speech classification or search using statistical models, e.g. Hidden Markov Models [HMM]

60.

Senone scoring for multiple input streams

      
Application Number 13669907
Grant Number 08996374
Status In Force
Filing Date 2012-11-06
First Publication Date 2014-05-08
Grant Date 2015-03-31
Owner Spansion LLC (USA)
Inventor Bapat, Ojas A.

Abstract

Embodiments of the present invention include an apparatus, method, and system for calculating senone scores for multiple concurrent input speech streams. The method can include the following: receiving one or more feature vectors from one or more input streams; accessing the acoustic model one senone at a time; and calculating separate senone scores corresponding to each incoming feature vector. The calculation uses a single read access to the acoustic model for a single senone and calculates a set of separate senone scores for the one or more feature vectors, before proceeding to the next senone in the acoustic model.

IPC Classes  ?

  • G10L 15/00 - Speech recognition
  • G10L 15/04 - Segmentation; Word boundary detection
  • G10L 17/00 - Speaker identification or verification
  • G10L 15/02 - Feature extraction for speech recognition; Selection of recognition unit
  • G10L 15/187 - Phonemic context, e.g. pronunciation rules, phonotactical constraints or phoneme n-grams

61.

IMPROVED SPACER DESIGN TO PREVENT TRAPPED ELECTRONS

      
Application Number US2013063089
Publication Number 2014/055662
Status In Force
Filing Date 2013-10-02
Publication Date 2014-04-10
Owner SPANSION LLC (USA)
Inventor Hui, Angela, T.

Abstract

Charge-trapping field effect transistors may be formed into an array on a wafer suitable to be a NAND memory device. A thick oxide layer is applied over the gates to ensure that the gap between the gates is filled. The filled gap substantially prevents nitride from being trapped, which could otherwise decrease the yield of the devices. This technique, and its variations, are useful for a range of semiconductor devices.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor
  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)

62.

Spacer design to prevent trapped electrons

      
Application Number 13644901
Grant Number 08836012
Status In Force
Filing Date 2012-10-04
First Publication Date 2014-04-10
Grant Date 2014-09-16
Owner Spansion LLC (USA)
Inventor Hui, Angela T.

Abstract

Charge-trapping field effect transistors may be formed into an array on a wafer suitable to be a NAND memory device. A thick oxide layer is applied over the gates to ensure that the gap between the gates is filled. The filled gap substantially prevents nitride from being trapped, which could otherwise decrease the yield of the devices. This technique, and its variations, are useful for a range of semiconductor devices.

IPC Classes  ?

  • H01L 29/792 - Field-effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistor

63.

SUPPLY POWER DEPENDENT CONTROLLABLE WRITE THROUGHPUT FOR MEMORY APPLICATIONS

      
Application Number US2013063088
Publication Number 2014/055661
Status In Force
Filing Date 2013-10-02
Publication Date 2014-04-10
Owner SPANSION LLC (USA)
Inventor Binboga, Evrim

Abstract

Devices and methods that allow dynamic management of throughput in a memory device based on a power supply voltage are provided. According to various embodiments, the power supply level can be monitored. Based on the result of the monitoring, an appropriate throughput can be determined. Once the appropriate throughput is determined, an appropriate control signal based on the determined throughput can be generated. The control signal can be configured to cause a bitline driver circuit in a memory array to activate a number of bitlines consistent with the determined throughput.

IPC Classes  ?

  • G06F 1/26 - Power supply means, e.g. regulation thereof

64.

Semiconductor device and method for manufacturing the same

      
Application Number 14067717
Grant Number 08765529
Status In Force
Filing Date 2013-10-30
First Publication Date 2014-04-03
Grant Date 2014-07-01
Owner Spansion LLC (USA)
Inventor Masuda, Naomi

Abstract

A semiconductor device includes a semiconductor chip, a connection electrode including a first land electrode electrically coupled with the semiconductor chip, and a through electrode formed on an upper surface of the first land electrode to be electrically coupled with the first land electrode using a stud bump, and a sealing resin, through which the connection electrode passes, for sealing the semiconductor chip.

IPC Classes  ?

  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 21/60 - Attaching leads or other conductive members, to be used for carrying current to or from the device in operation
  • H01L 23/498 - Leads on insulating substrates
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

65.

HYPERBUS

      
Serial Number 86189133
Status Registered
Filing Date 2014-02-10
Registration Date 2017-10-24
Owner Spansion LLC ()
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Interfaces for high speed throughput of data between a processor or microcontroller and other semiconductor devices; interfaces for high speed throughput of data between a processor or microcontroller and peripheral components

66.

HYPERRAM

      
Serial Number 86189104
Status Registered
Filing Date 2014-02-10
Registration Date 2018-01-23
Owner Spansion LLC ()
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Volatile memory devices, namely, random-access memory semiconductor chips; applications and utility software for functions associated with random-access volatile memory devices, namely, code and data management software and random-access memory semiconductor chip drivers

67.

HYPERFLASH

      
Serial Number 86189138
Status Registered
Filing Date 2014-02-10
Registration Date 2017-08-22
Owner Spansion LLC ()
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Non-volatile memory devices, namely, flash memory semiconductor chips and mass storage semiconductor chips; applications and utility software for functions associated with memory devices, namely, code and data management software, file system management software, flash memory semiconductor chip drivers, mass storage semiconductor chip drivers, flash media drivers, and block drivers

68.

BITLINE VOLTAGE REGULATION IN NON-VOLATILE MEMORY

      
Application Number US2013052504
Publication Number 2014/022281
Status In Force
Filing Date 2013-07-29
Publication Date 2014-02-06
Owner SPANSION LLC (USA)
Inventor Binboga, Evrim

Abstract

Systems and methods are provided to minimize write disturb conditions in an untargeted memory cell of a non-volatile memory array. Bitline driver circuits are provided to control a ramped voltage applied both to a bitline of a target memory cell and a neighboring bitline of an untargeted memory cell, Various embodiments advantageously maintain the integrity of data stored in the untargeted memory cells by applying a controlled voltage signal to a previously floating bitline of a neighbor cell to reduce a potential difference between the source and drain nodes of the untargeted. neighbor memory cell during a write operation at a target memory cell. In another embodiment, an increased source bias voltage is applied on a "source" bitline of the target cell during the ramping of the drain bias voltage and then reduced to a ground or near ground potential during the write operation.

IPC Classes  ?

  • G11C 16/24 - Bit-line control circuits
  • G11C 16/30 - Power supply circuits
  • G11C 16/34 - Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention

69.

POWER SAVINGS APPARATUS AND METHOD FOR MEMORY DEVICE USING DELAY LOCKED LOOP

      
Application Number US2013052852
Publication Number 2014/022474
Status In Force
Filing Date 2013-07-31
Publication Date 2014-02-06
Owner SPANSION LLC (USA)
Inventor
  • Hasan, Qamrul
  • Zitlaw, Clifford
  • Rosner, Stephan
  • Dubois, Sylvain

Abstract

Embodiments are directed to reduced power consumption for memory data transfer at high frequency through synchronized clock signaling. Delay locked loop (DLL) circuits are used to generate the synchronized clock signals. A DLL circuit consumes power as long as it is outputting the synchronized clock signals. A power saving apparatus and method are described wherein the DLL circuit is powered on when memory data access is active, while the DLL circuit is powered down when memory access is idle.

IPC Classes  ?

  • G11C 8/00 - Arrangements for selecting an address in a digital store
  • G11C 5/14 - Power supply arrangements

70.

LEAKAGE REDUCING WRITELINE CHARGE PROTECTION CIRCUIT

      
Application Number US2013049574
Publication Number 2014/011548
Status In Force
Filing Date 2013-07-08
Publication Date 2014-01-16
Owner SPANSION LLC (USA)
Inventor
  • Davis, Bradley, Marc
  • Randolph, Mark, W.
  • Chung, Sung-Young
  • Shiraiwa, Hidehiko

Abstract

Methods and systems of fabricating a wordline protection structure are described. As described, the wordline protection structure includes a polysilicon structure formed adjacent to a memory core region. The polysilicon structure includes first doped region positioned on a core side of the polysilicon structure and a second doped region positioned on a spine side of the polysilicon structure. An un-doped region positioned between the first and second doped regions. A conductive layer is formed on top of the polysilicon structure and arranged so that it does not contact the un-doped region at either the transition between the first doped region and the un-doped region or the second doped region and un-doped region.

IPC Classes  ?

  • H01L 27/04 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor
  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)

71.

APPARATUS AND METHOD FOR ROUNDED ONO FORMATION IN A FLASH MEMORY DEVICE

      
Application Number US2013049054
Publication Number 2014/008252
Status In Force
Filing Date 2013-07-02
Publication Date 2014-01-09
Owner SPANSION LLC (USA)
Inventor
  • Fang, Shenqing
  • Chen, Tung-Sheng
  • Thurgate, Tim
  • Li, Di

Abstract

A method and apparatus for continuously rounded charge trapping layer formation in a flash memory device. The memory device includes a semiconductor layer, including a source/drain region. An isolation region is disposed adjacent to the source/drain region. A first insulator is disposed above the source/drain region. A charge trapping layer is disposed within the first insulator, wherein the charge trapping layer comprises a bulk portion and a first tip and a second tip on either side of said bulk portion, wherein said charge trapping layer extends beyond the width of the source/drain region. A second insulator is disposed above the charge trapping layer. A polysilicon gate structure is disposed above the second insulator, wherein a width of said control gate is wider than the width of said source/drain region.

IPC Classes  ?

  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor
  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)

72.

Heat dissipation methods and structures for semiconductor device

      
Application Number 13966069
Grant Number 08759157
Status In Force
Filing Date 2013-08-13
First Publication Date 2013-12-19
Grant Date 2014-06-24
Owner Spansion LLC (USA)
Inventor Onodera, Masanori

Abstract

A semiconductor device with efficient heat dissipating structures is disclosed. The semiconductor device includes a first semiconductor chip that is flip-chip mounted on a first substrate, a heat absorption portion that is formed between the first semiconductor chip and the first substrate, an outer connection portion that connects the first semiconductor chip to an external device and a heat conduction portion formed between the heat absorption portion and the outer connection portion to dissipate heat generated by the first semiconductor chip.

IPC Classes  ?

  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 23/02 - Containers; Seals
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 23/367 - Cooling facilitated by shape of device
  • H01L 23/36 - Selection of materials, or shaping, to facilitate cooling or heating, e.g. heat sinks
  • H01L 33/64 - Heat extraction or cooling elements
  • H01L 23/40 - Mountings or securing means for detachable cooling or heating arrangements

73.

POWER-EFFICIENT VOICE ACTIVATION

      
Application Number US2013037800
Publication Number 2013/188007
Status In Force
Filing Date 2013-04-23
Publication Date 2013-12-19
Owner SPANSION LLC (USA)
Inventor
  • Rosner, Stephan
  • Liu, Chen
  • Olson, Jens

Abstract

A voice activation system is provided. The voice activation system includes a first stage configured to output a first activation signal if at least one energy characteristic of a received audio signal satisfies at least one threshold and a second stage configured to transition from a first state to a second state in response to the first activation signal and, when in the second state, to output a second activation signal if at least a portion of a profile of the audio signal substantially matches at least one predetermined profile.

IPC Classes  ?

  • G10L 15/28 - Constructional details of speech recognition systems

74.

METHOD, APPARATUS, AND MANUFACTURE FOR FLASH MEMORY ADAPTIVE ALGORITHM

      
Application Number US2013042673
Publication Number 2013/181101
Status In Force
Filing Date 2013-05-24
Publication Date 2013-12-05
Owner SPANSION LLC (USA)
Inventor Parker, Allan

Abstract

A method, apparatus, and manufacture for a memory device is provided. The memory device includes a memory cell region including sectors, where each sector includes memory cells. The memory device further includes a memory controller that is configured to control program operations and erase operations to the memory cells. During erase operations to the memory cells, pre-programming occurs in which each un-programmed memory cell in the sector being erased is programmed by applying at least one programming pulse at a program voltage until a program verify passes. Then, the program voltage is adjusted based on the number of programming pulses applied until the program-verify passed. During subsequent program operations in that sector, programming pulses are applied with the adjusted program voltage.

IPC Classes  ?

  • G11C 16/10 - Programming or data input circuits
  • G11C 16/34 - Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention

75.

Self-aligned NAND flash select-gate wordlines for spacer double patterning

      
Application Number 13894218
Grant Number 08874253
Status In Force
Filing Date 2013-05-14
First Publication Date 2013-11-28
Grant Date 2014-10-28
Owner Spansion LLC (USA)
Inventor
  • Chen, Tung-Sheng
  • Fang, Shenqing

Abstract

A method for double patterning is disclosed. In one embodiment the formation a pair of select gate wordlines on either side of a plurality of core wordlines begins by placing a spacer pattern around edges of a photoresist pattern is disclosed. The photoresist pattern is stripped away leaving the spacer pattern. A trim mask is placed over a portion of the spacer pattern. Portions of the spacer pattern are etched away that are not covered by the trim mask. The trim mask is removed, wherein first remaining portions of the spacer pattern define a plurality of core wordlines. A pad mask is placed such that the pad mask and second remaining portions of the spacer pattern define a select gate wordline on either side of the plurality of core wordlines. Finally at least one pattern transfer layer is etched through using the mad mask and the first and second remaining portions of the spacer pattern to etch the select gate wordlines and the plurality of core wordlines into a poly silicon layer.

IPC Classes  ?

  • H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting
  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
  • H01L 21/033 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or comprising inorganic layers
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

76.

SOFT ERROR RESISTANT CIRCUITRY

      
Application Number US2013040904
Publication Number 2013/173302
Status In Force
Filing Date 2013-05-14
Publication Date 2013-11-21
Owner SPANSION LLC (USA)
Inventor
  • Blish, Richard, C.
  • Hossain, Timothy, Z.

Abstract

An assembly includes an integrated circuit, a film layer disposed over the integrated circuit and having a thickness of at least 50 microns, and a thermal neutron absorber layer comprising at least 0,5% thermal neutron absorber, The thermal neutron absorber layer can be a glass layer or can include a molding compound.

IPC Classes  ?

  • H01L 23/373 - Cooling facilitated by selection of materials for the device
  • H01L 23/34 - Arrangements for cooling, heating, ventilating or temperature compensation

77.

Semiconductor device and method for manufacturing thereof

      
Application Number 13921956
Grant Number 08586412
Status In Force
Filing Date 2013-06-19
First Publication Date 2013-10-31
Grant Date 2013-11-19
Owner Spansion LLC (USA)
Inventor
  • Meguro, Kouichi
  • Onodera, Masanori

Abstract

A semiconductor device which includes a first semiconductor chip, a second semiconductor chip flip-chip bonded to the first semiconductor chip, a resin portion for sealing the first semiconductor chip and the second semiconductor chip such that a lower surface of the first semiconductor chip and an upper surface of the second semiconductor chip are exposed and a side surface of the first semiconductor chip is covered, and a post electrode which pierces the resin portion and is connected to the first semiconductor chip, and a manufacturing method thereof are provided.

IPC Classes  ?

  • H01L 21/00 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

78.

Flip chip bonded semiconductor device with shelf

      
Application Number 13918674
Grant Number 08796864
Status In Force
Filing Date 2013-06-14
First Publication Date 2013-10-24
Grant Date 2014-08-05
Owner Spansion LLC (USA)
Inventor
  • Masuda, Naomi
  • Taya, Koji

Abstract

The semiconductor device according to the present invention has a planar semiconductor chip having projecting connection terminals provided on one surface thereof. A shelf is provided where a peripheral edge of a surface of the semiconductor chip opposite one surface thereof onto which connection terminals are provided is removed. This makes it possible to secure a larger volume of the fillet portion of the underfill, thereby helping improve the function of preventing the rising up of the excess underfill by providing a shelf in the semiconductor chip.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

79.

ADAPTIVELY PROGRAMMING OR ERASING FLASH MEMORY BLOCKS

      
Application Number US2013034778
Publication Number 2013/151919
Status In Force
Filing Date 2013-04-01
Publication Date 2013-10-10
Owner SPANSION LLC (USA)
Inventor
  • Neo, Tio, Wei
  • Shetty, Shivananda
  • Pak, James

Abstract

Embodiments described herein generally relate to programming and erasing a FLASH memory. In an embodiment, a method of programming or erasing the contents of a block of a FLASH memory includes determining a voltage of a pulse based on an age of the block and outputting the pulse to at least a portion of the block. The pulse is used to program or erase the block.

IPC Classes  ?

  • G11C 16/06 - Auxiliary circuits, e.g. for writing into memory
  • G11C 16/10 - Programming or data input circuits
  • G11C 16/16 - Circuits for erasing electrically, e.g. erase voltage switching circuits for erasing blocks, e.g. arrays, words, groups

80.

Method and apparatus for protection against process-induced charging

      
Application Number 13866915
Grant Number 09318373
Status In Force
Filing Date 2013-04-19
First Publication Date 2013-09-12
Grant Date 2016-04-19
Owner SPANSION LLC (USA)
Inventor
  • Rogers, David M
  • Qian, Mimi X
  • Appiah, Kwadwo A
  • Randolph, Mark
  • Vanbuskirk, Michael A
  • Kamal, Tazrien
  • Kinoshita, Hiroyuki
  • He, Yi
  • Zheng, Wei

Abstract

A semiconductor device (400) for improved charge dissipation protection includes a substrate (426), a layer of semiconductive or conductive material (406), one or more thin film devices (408) and a charge passage device (414). The thin film devices (408) are connected to the semiconductive or conductive layer (406) and the charge passage device (414) is coupled to the thin film devices (408) and to the substrate (426) and provides a connection from the thin film devices (408) to the substrate (426) to dissipate charge from the semiconductive/conductive layer (406) to the substrate (426).

IPC Classes  ?

  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • G11C 8/08 - Word line control circuits, e.g. drivers, boosters, pull-up circuits, pull-down circuits, precharging circuits, for word lines
  • G11C 8/14 - Word line organisation; Word line lay-out
  • H01L 27/02 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
  • H01L 29/66 - Types of semiconductor device

81.

IMPROVING REDUNDANCY LOADING EFFICIENCY

      
Application Number US2013025417
Publication Number 2013/122836
Status In Force
Filing Date 2013-02-08
Publication Date 2013-08-22
Owner SPANSION LLC (USA)
Inventor
  • Ong, Wei-Kent
  • Beh, Jih-Hong
  • Lau, Sei-Wei, Henry
  • Ang, Oon-Poh

Abstract

A system comprising a processor and a memory, wherein said memory comprises instructions that when executed by said processor implement a method. The method includes loading a first portion of a set of redundancy data into a register of the processor for each redundant sector of a plurality of redundant sectors. A second portion of a set of redundancy data is also loaded into the volatile memory for each redundant sector of the plurality of redundant sectors. Loading the second portions of the sets of redundancy data comprises loading a third portion of redundancy data comprising a plurality of second portions of redundancy data for the plurality of redundant sectors.

IPC Classes  ?

  • G06F 12/16 - Protection against loss of memory contents

82.

ACOUSTIC PROCESSING UNIT

      
Application Number US2012069787
Publication Number 2013/096124
Status In Force
Filing Date 2012-12-14
Publication Date 2013-06-27
Owner SPANSION LLC (USA)
Inventor
  • Fastow, Richard
  • Olson, Jens
  • Lohani, Sumit

Abstract

Embodiments of the present invention include an apparatus, method, and system for acoustic modeling. The apparatus can include a senone scoring unit (SSU) control module, a distance calculator, and an addition module. The SSU control module can be configured to receive a feature vector. The distance calculator can be configured to receive a plurality of Gaussian probability distributions via a data bus having a width of at least one Gaussian probability distribution and the feature vector from the SSU control module. The distance calculator can include a plurality of arithmetic logic units to calculate a plurality of dimension distance scores and an accumulator to sum the dimension distance scores to generate a Gaussian distance score. Further, the addition module is configured to sum a plurality of Gaussian distance scores to generate a senone score.

IPC Classes  ?

  • G10L 15/01 - Assessment or evaluation of speech recognition systems
  • G10L 15/02 - Feature extraction for speech recognition; Selection of recognition unit

83.

ACOUSTIC PROCESSING UNIT INTERFACE

      
Application Number US2012070329
Publication Number 2013/096301
Status In Force
Filing Date 2012-12-18
Publication Date 2013-06-27
Owner SPANSION LLC (USA)
Inventor
  • Natarajan, Venkataraman
  • Rosner, Stephan

Abstract

Embodiments of the present invention include an apparatus, method, and system for acoustic modeling. In an embodiment, a speech recognition system is provided. The system includes a processing unit configured to divide a received audio signal into consecutive frames having respective frame vectors, an acoustic processing unit (APU), a data bus that couples the processing unit and the APU. The APU includes a local, non-volatile memory that stores a plurality of senones, a memory buffer coupled to the memory, the acoustic processing unit being configured to load at least one Gaussian probability distribution vector stored in the memory into the memory buffer, and a scoring unit configured to simultaneously compare a plurality of dimensions of a Gaussian probability distribution vector loaded into the memory buffer with respective dimensions of a frame vector received from the processing unit and to output a corresponding score to the processing unit. The APU is configured to perform a comparison using a first frame while the processing unit performs a search operation using a score corresponding to a second frame, the second frame immediately preceding the first frame.

IPC Classes  ?

  • G10L 15/02 - Feature extraction for speech recognition; Selection of recognition unit
  • G10L 15/01 - Assessment or evaluation of speech recognition systems

84.

ARITHMETIC LOGIC UNIT ARCHITECTURE

      
Application Number US2012070332
Publication Number 2013/096303
Status In Force
Filing Date 2012-12-18
Publication Date 2013-06-27
Owner SPANSION LLC (USA)
Inventor
  • Fastow, Richard
  • Olson, Jens
  • Shoham, Ben, Michael

Abstract

Embodiments of the present invention include an apparatus, method, and system for acoustic modeling. In an embodiment, an arithmetic logic unit for computing a one-dimensional score between a feature vector and a Gaussian probability distribution vector is provided. The arithmetic logic unit includes a computational logic unit configured to compute a first value based on a mean value and a variance value associated with a dimension of the Gaussian probability distribution vector and a dimension of a feature vector, a look up table module configured to output a second value based on the variance value, and a combination module configured to combine the first value and the second value to generate the one-dimensional score.

IPC Classes  ?

  • G06F 7/57 - Arithmetic logic units [ALU], i.e. arrangements or devices for performing two or more of the operations covered by groups  or for performing logical operations
  • G06F 17/10 - Complex mathematical operations
  • G06F 17/16 - Matrix or vector computation

85.

Arithmetic logic unit architecture

      
Application Number 13490129
Grant Number 08924453
Status In Force
Filing Date 2012-06-06
First Publication Date 2013-06-20
Grant Date 2014-12-30
Owner Spansion LLC (USA)
Inventor
  • Fastow, Richard
  • Olson, Jens
  • Shoham, Ben Michael

Abstract

Embodiments of the present invention include an apparatus, method, and system for acoustic modeling. In an embodiment, an arithmetic logic unit for computing a one-dimensional score between a feature vector and a Gaussian probability distribution vector is provided. The arithmetic logic unit includes a computational logic unit configured to compute a first value based on a mean value and a variance value associated with a dimension of the Gaussian probability distribution vector and a dimension of a feature vector, a look up table module configured to output a second value based on the variance value, and a combination module configured to combine the first value and the second value to generate the one-dimensional score.

IPC Classes  ?

  • G06F 7/38 - Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
  • G10L 15/187 - Phonemic context, e.g. pronunciation rules, phonotactical constraints or phoneme n-grams
  • G10L 15/28 - Constructional details of speech recognition systems
  • G06F 17/10 - Complex mathematical operations
  • G10L 15/14 - Speech classification or search using statistical models, e.g. Hidden Markov Models [HMM]
  • G10L 15/02 - Feature extraction for speech recognition; Selection of recognition unit

86.

HIGH SPEED SERIAL PERIPHERAL INTERFACE MEMORY SUBSYSTEM

      
Application Number US2012068467
Publication Number 2013/086334
Status In Force
Filing Date 2012-12-07
Publication Date 2013-06-13
Owner SPANSION LLC (USA)
Inventor
  • Widmer, Kevin
  • Zitlaw, Cliff
  • Le, Anthony

Abstract

A memory subsystem is disclosed. The memory subsystem includes a serial peripheral interface (SPI) double data rate (DDR) volatile memory component (203), a serial peripheral interface (SPI) double data rate (DDR) non-volatile memory component (201 ) coupled to the serial peripheral interface (SPI) double data rate (DDR) volatile memory component and a serial peripheral interface (SPI) double data rate (DDR) interface (205a-c). The serial peripheral interface (SPI) double data rate (DDR) interface (205a-c) accesses the serial peripheral interface (SPI) double data rate (DDR) volatile memory component (203) and the serial peripheral interface (SPI) double data rate (DDR) non-volatile memory component (201) where data is accessed on leading and falling edges of a clock signal.

IPC Classes  ?

  • G11C 7/10 - Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers

87.

Void free interlayer dielectric

      
Application Number 13732096
Grant Number 08614475
Status In Force
Filing Date 2012-12-31
First Publication Date 2013-06-06
Grant Date 2013-12-24
Owner SPANSION LLC (USA)
Inventor
  • Ngo, Minh Van
  • Tokuno, Hirokazu
  • Hui, Angela T.
  • Li, Wenmei
  • Thio, Hsiao-Han

Abstract

A method of manufacturing a non-volatile memory device includes forming a number of memory cells. The method also includes depositing a first dielectric layer over the memory cells, where the first dielectric layer is a conformal layer having a substantially uniform thickness. The method further includes depositing a second dielectric layer over the first dielectric layer. Together, the first and second dielectric layers form an interlayer dielectric without voids.

IPC Classes  ?

  • H01L 29/788 - Field-effect transistors with field effect produced by an insulated gate with floating gate

88.

Device having multiple wire bonds for a bond area and methods thereof

      
Application Number 13306390
Grant Number 08791007
Status In Force
Filing Date 2011-11-29
First Publication Date 2013-05-30
Grant Date 2014-07-29
Owner Spansion LLC (USA)
Inventor
  • Tan, Gin Ghee
  • Teoh, Lai Beng
  • Tziat, Royce Yeoh Kao
  • Foong, Sally Yin Lye

Abstract

Wire bonds are formed at an integrated circuit device so that multiple wires are bonded to a single bond pad. In a particular embodiment, the multiple wires are bonded by first applying a stud bump to the pad and successively bonding each of the wires to the stud bump. Another stud bump can be placed over the bonded wires to provide additional connection security.

IPC Classes  ?

  • H01L 21/44 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups

89.

Table lookup operation on masked data

      
Application Number 13738797
Grant Number 08855298
Status In Force
Filing Date 2013-01-10
First Publication Date 2013-05-23
Grant Date 2014-10-07
Owner Spansion LLC (USA)
Inventor Trichina, Elena Vasilievna

Abstract

Processing of masked data using table lookups is described. A mask is applied to input data to generate masked input data. The mask and the masked input data are used in combination to locate an entry in a lookup table. The entry corresponds to a transformed version of the input data.

IPC Classes  ?

  • G06F 21/00 - Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
  • G06F 21/62 - Protecting access to data via a platform, e.g. using keys or access control rules
  • G06F 12/10 - Address translation
  • H04L 9/00 - Arrangements for secret or secure communications; Network security protocols
  • G06F 7/76 - Arrangements for rearranging, permuting or selecting data according to predetermined rules, independently of the content of the data

90.

Storage device, control method of storage device, and control method of storage control device

      
Application Number 13725749
Grant Number 08811107
Status In Force
Filing Date 2012-12-21
First Publication Date 2013-05-02
Grant Date 2014-08-19
Owner Spansion LLC (USA)
Inventor Niimi, Masahiro

Abstract

Memory cell regions as units of erasing operation are sectors S, and units of reading operation and/or writing operation are blocks B0 to B3 in a sector, in which a block address BA for selecting one of blocks B0 to B3 is held in block address buffer (BAB) 3. Holding operation is executed prior to reading or writing operation, and hence in subsequent reading operation or writing operation, re-input is not needed. Depending on the held block address BA, any one of selection signals YDn (n=0 to 3) is selected, and any one block is selected depending on the selection signal YDn. This state is maintained until the block address BA held in the block address buffer (BAB) 3 is rewritten, and therefore it is not required to enter or decode the block address BA on every occasion of reading and/or writing operation, so that the access operation can be executed promptly and at low current consumption.

IPC Classes  ?

  • G11C 8/12 - Group selection circuits, e.g. for memory block selection, chip selection, array selection

91.

Control circuit of step-down DC-DC converter, control circuit of step-up DC-DC converter and step-up/step-down DC-DC converter

      
Application Number 13685827
Grant Number 08680832
Status In Force
Filing Date 2012-11-27
First Publication Date 2013-04-11
Grant Date 2014-03-25
Owner Spansion LLC (USA)
Inventor Miyamae, Toru

Abstract

A DC-DC converter or the like capable of generating a stable output voltage is provided. A control circuit 11 of a current mode step-down DC-DC converter 1 includes a slope compensation circuit SC and an offset circuit IF1. The slope compensation circuit SC adds an increase gradient m2 due to slope compensation to an increase gradient of a coil current waveform Vsense in a range wherein an ON period Ton of a switch SW1 exceeds ½ of an operating cycle T. An offset circuit IF1 applies an offset voltage Voffset which becomes smaller depending on the ON period Ton in excess of ½ of an operating cycle T, to a coil current waveform Vsense.

IPC Classes  ?

  • G05F 1/565 - Regulating voltage or current wherein the variable actually regulated by the final control device is dc using semiconductor devices in series with the load as final control devices sensing a condition of the system or its load in addition to means responsive to deviations in the output of the system, e.g. current, voltage, power factor
  • G05F 1/00 - Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or val

92.

Gap-filling with uniform properties

      
Application Number 12982364
Grant Number 08415256
Status In Force
Filing Date 2010-12-30
First Publication Date 2013-04-09
Grant Date 2013-04-09
Owner SPANSION LLC (USA)
Inventor
  • Nickel, Alexander
  • You, Lu
  • Tokuno, Hirokazu
  • Tran, Minh
  • Van Ngo, Minh
  • Pham, Hieu
  • Wilson, Erik
  • Huertas, Robert

Abstract

During semiconductor fabrication homogeneous gap-filling is achieved by depositing a thin dielectric layer into the gap, post deposition curing, and then repeating deposition and post deposition curing until gap-filling is completed. Embodiments include depositing a layer of low deposition temperature gap-fill dielectric into a high aspect ratio opening, such as a shallow trench or a gap between closely spaced apart gate electrode structures, as at a thickness of about 10 Å to about 500 Å, curing after deposition, as by UV radiation or by heating at a temperature of about 400° C. to about 1000° C., depositing another layer of low deposition temperature gap-filled dielectric, and curing after deposition. Embodiments include separately depositing and separately curing multiple layers.

IPC Classes  ?

  • H01L 21/31 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers; Selection of materials for these layers
  • H01L 21/469 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers

93.

A/D converter

      
Application Number 13558093
Grant Number 08830097
Status In Force
Filing Date 2012-07-25
First Publication Date 2013-03-07
Grant Date 2014-09-09
Owner Spansion LLC (USA)
Inventor
  • Aruga, Kenta
  • Miyazaki, Takashi
  • Tomura, Hiroyuki

Abstract

An arithmetic operation circuit provided in a delta-sigma modulator of a delta-sigma A/D converter includes two reference capacitors which are respectively provided at a positive side input node and a negative side input node of an operational amplifier. When a signal corresponding to an output of the modulator is added or subtracted to or from an input signal, the amount of charge added to the input node of the operational amplifier is made to be always the same regardless of the reference voltage by complementarily switching the connection of the reference capacitors at the positive side input node and the negative side input node, and thereby the potential of the input node of the operational amplifier is made to converge to the common mode potential of the circuit.

IPC Classes  ?

  • H03M 3/00 - Conversion of analogue values to or from differential modulation
  • H03M 3/02 - Delta modulation, i.e. one-bit differential modulation

94.

Void free interlayer dielectric

      
Application Number 11109719
Grant Number 08367493
Status In Force
Filing Date 2005-04-20
First Publication Date 2013-02-05
Grant Date 2013-02-05
Owner SPANSION LLC (USA)
Inventor
  • Ngo, Minh Van
  • Tokuno, Hirokazu
  • Hui, Angela T.
  • Li, Wenmei
  • Thio, Hsiao-Han

Abstract

A method of manufacturing a non-volatile memory device includes forming a number of memory cells. The method also includes depositing a first dielectric layer over the memory cells, where the first dielectric layer is a conformal layer having a substantially uniform thickness. The method further includes depositing a second dielectric layer over the first dielectric layer. Together, the first and second dielectric layers form an interlayer dielectric without voids.

IPC Classes  ?

  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS

95.

PLL circuit

      
Application Number 13558835
Grant Number 08638140
Status In Force
Filing Date 2012-07-26
First Publication Date 2012-11-22
Grant Date 2014-01-28
Owner Spansion LLC (USA)
Inventor Okada, Koji

Abstract

A phase locked loop (PLL) circuit including a phase comparator for comparing a phase of a reference signal with a phase of a feedback signal, an oscillator for outputting an output signal at a frequency in accordance with an output of the phase comparator, a feedback loop for returning the output signal of the oscillator and supplying the output signal as the feedback signal, and a delay circuit for delaying the phase of the output signal output from the oscillator to a load circuit, wherein the delay circuit is provided outside the feedback loop.

IPC Classes  ?

  • H03L 7/06 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop

96.

Multiple communication channels on MMC or SD CMD line

      
Application Number 13410630
Grant Number 08386681
Status In Force
Filing Date 2012-03-02
First Publication Date 2012-09-06
Grant Date 2013-02-26
Owner Spansion LLC (USA)
Inventor
  • Charrat, Bruno
  • Grall, Jean-Yves
  • Prawitz, Nicolas
  • Kornitz, Roni

Abstract

The claimed subject matter can provide an architecture that interfaces a single slave device such as a UICC smartcard with multiple host controllers. For example, a secondary host can be interfaced between a primary host (e.g., a controller in a cellular phone, a PDA, an MP3 player . . . ) to manage all transactions with the slave device. The secondary host can operate transparently to the primary host and thus does not require any modifications to the primary host. This can be accomplished, e.g., by employing the CMD channel (which is relatively sparsely used by the primary host) to communicate both commands and data with the slave.

IPC Classes  ?

  • G06F 13/00 - Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units

97.

Array type CAM cell for simplifying processes

      
Application Number 11349562
Grant Number 08237210
Status In Force
Filing Date 2006-02-08
First Publication Date 2012-08-07
Grant Date 2012-08-07
Owner Spansion LLC (USA)
Inventor
  • Wang, Zhigang
  • Mizutani, Kazuhiro
  • Fastow, Richard

Abstract

A semiconductor apparatus is presented that includes an array of memory cells. The memory cells are arranged in rows and columns. Non-intersecting shallow trench isolation regions isolate the columns of memory cells. Also included is at least one source region that is isolated between an adjoining pair of the non-intersecting shallow trench isolation regions and isolated from a drain region. The source region is coupled to source lines in the array of memory cells. A contact couples a select plurality of the columns of memory cells, the select plurality functioning as a single content addressable memory cell.

IPC Classes  ?

98.

Semiconductor device

      
Application Number 13316517
Grant Number 08621643
Status In Force
Filing Date 2011-12-11
First Publication Date 2012-07-26
Grant Date 2013-12-31
Owner Spansion LLC (USA)
Inventor
  • Suyama, Hiroko
  • Shibata, Kenichiro
  • Wakamatsu, Hiroki

Abstract

A semiconductor device includes a nonvolatile memory, and an interface configured to transfer data to and from the nonvolatile memory. The interface includes a security logic unit which controls a security level for the data written to the nonvolatile memory, in accordance with a plurality of preset security codes and a lock code that is written to a specific area in the nonvolatile memory.

IPC Classes  ?

  • G06F 21/00 - Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity

99.

Non-volatile FINFET memory array and manufacturing method thereof

      
Application Number 13006339
Grant Number 08598646
Status In Force
Filing Date 2011-01-13
First Publication Date 2012-07-19
Grant Date 2013-12-03
Owner Spansion LLC (USA)
Inventor
  • Chen, Chun
  • Fang, Shenqing

Abstract

An electronic device includes a substrate with a semiconducting surface having a plurality of fin-type projections coextending in a first direction through a memory cell region and select gate regions. The electronic device further includes a dielectric isolation material disposed in spaces between the projections. In the electronic device, the dielectric isolation material in the memory cell regions have a height less than a height of the projections in the memory cell regions, and the dielectric isolation material in the select gate regions have a height greater than or equal to than a height of the projections in the select gate regions. The electronic device further includes gate features disposed on the substrate within the memory cell region and the select gate regions over the projections and the dielectric isolation material, where the gate features coextend in a second direction transverse to the first direction.

IPC Classes  ?

  • H01L 27/105 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components

100.

EASY DESIGNSIM

      
Serial Number 85672235
Status Registered
Filing Date 2012-07-09
Registration Date 2013-10-08
Owner SPANSION LLC ()
NICE Classes  ?
  • 09 - Scientific and electric apparatus and instruments
  • 42 - Scientific, technological and industrial services, research and design

Goods & Services

[ Computer programs featuring simulation and behavioral modeling functions for use in power circuit design; downloadable simulation and behavioral modeling computer programs for use in power circuit design ] Design and development of integrated circuits; providing non-downloadable simulation and behavioral modeling programs for power circuit design
  1     2     3     ...     5        Next Page