Xilinx, Inc.

United States of America

Back to Profile

1-100 of 4,086 for Xilinx, Inc. Sort by
Query
Aggregations
IP Type
        Patent 4,008
        Trademark 78
Jurisdiction
        United States 3,544
        World 488
        Canada 39
        Europe 15
Date
New (last 4 weeks) 13
2024 April (MTD) 12
2024 March 7
2024 February 17
2024 January 19
See more
IPC Class
G06F 17/50 - Computer-aided design 632
H03K 19/177 - Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form 192
H03K 19/173 - Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components 144
H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group 122
G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer 117
See more
NICE Class
09 - Scientific and electric apparatus and instruments 74
42 - Scientific, technological and industrial services, research and design 9
45 - Legal and security services; personal services for individuals. 2
16 - Paper, cardboard and goods made from these materials 1
37 - Construction and mining; installation and repair services 1
Status
Pending 133
Registered / In Force 3,953
  1     2     3     ...     41        Next Page

1.

ADAPTABLE FRAMEWORK FOR CIRCUIT DESIGN SIMULATION VERIFICATION

      
Application Number 18049585
Status Pending
Filing Date 2022-10-24
First Publication Date 2024-04-25
Owner Xilinx, Inc. (USA)
Inventor
  • Bandyopadhyay, Saikat
  • Klair, Rajvinder S.

Abstract

An adaptable framework for circuit design simulation verification generates a simulation database for a circuit design and processed design data for the circuit design. The processed design data includes source files for the circuit design referenced by the simulation database. The simulation database and the processed design data are exported from a host integrated development environment (IDE). A template writer configured to generate a simulation script for the circuit design using the simulation database is provided. The simulation script is generated by executing the template writer. The simulation script is generated according to one or more user-specified parameters of the template writer using the simulation database and the processed design data as exported.

IPC Classes  ?

  • G06F 30/31 - Design entry, e.g. editors specifically adapted for circuit design

2.

LOW POWER DRIVER SCHEME FOR ON-CHIP AND INTERPOSER BASED DATA TRANSMISSION

      
Application Number 17964762
Status Pending
Filing Date 2022-10-12
First Publication Date 2024-04-18
Owner XILINX, INC. (USA)
Inventor Dubey, Hari Bilash

Abstract

Signal routing and EMIR requirements are causing increased demand for metal resources. The cost of metal resources is also an issue. The design and sign-off of on-chip drivers for driving signals from one chip location to another is complicated by requirements for power integrity and signal routing. This disclosure addresses routing resource bottlenecks and power requirements by introducing a low power driver useable in a high speed SERDES scheme. A voltage clipping high speed and low swing driver is disclosed. Threshold switching voltage of the transmitted signal is controlled by a process and temperature compensated biasing scheme. A reference voltage generation circuitry along with a simple receiver demonstrates the capability of this receiver. This transceiver scheme can be used on an on-chip or off-chip SERDES application to send/receive low speed signals serially. Use of this novel technique addresses the metal resource issue along with EMIR and SIPI requirements.

IPC Classes  ?

  • H03K 17/687 - Electronic switching or gating, i.e. not by contact-making and -breaking characterised by the use of specified components by the use, as active elements, of semiconductor devices the devices being field-effect transistors

3.

Forming and/or configuring stacked dies

      
Application Number 17354927
Grant Number 11961823
Status In Force
Filing Date 2021-06-22
First Publication Date 2024-04-16
Grant Date 2024-04-16
Owner XILINX, INC. (USA)
Inventor
  • Jain, Praful
  • Voogel, Martin
  • Gaide, Brian

Abstract

Examples described herein generally relate to forming and/or configuring a die stack in a multi-chip device. An example is a method of forming a multi-chip device. Dies are formed. At least two or more of the dies are interchangeable. Characteristics of the at least two or more of the dies that are interchangeable are determined. A die stack comprising the at least two or more of the dies that are interchangeable is formed. Respective placements within the die stack of the at least two or more of the dies that are interchangeable are based on the characteristics.

IPC Classes  ?

  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

4.

DECOUPLING CAPACITOR PARAMETER DETERMINATION FOR A POWER DISTRIBUTION NETWORK

      
Application Number 17958249
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-11
Owner XILINX, INC. (USA)
Inventor Shepston, Shad

Abstract

A circuit analysis system performs a method for analyzing a power distribution network by determining a first S-parameter model for a first circuit element of the power distribution network. The first circuit element includes first ports that are coupled to first decoupling capacitors. Each of the first decoupling capacitors is associated with a respective first decoupling capacitor S-parameter model. The first S-parameter model is combined with one or more of the first decoupling capacitor S-parameter models to generate a combined S-parameter model for the power distribution network. Further, an impedance profile for the power distribution network is determined based on the combined S-parameter model.

IPC Classes  ?

  • G06F 30/367 - Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

5.

MULTIPLIER BLOCK FOR BLOCK FLOATING POINT AND FLOATING POINT VALUES

      
Application Number 17960693
Status Pending
Filing Date 2022-10-05
First Publication Date 2024-04-11
Owner Xilinx, Inc. (USA)
Inventor
  • James-Roxby, Philip Bryn
  • Dellinger, Eric F
  • Fraser, Nicholas James

Abstract

A mode control circuit operates a circuit arrangement in either a first mode to multiply floating point operands or a second mode to compute a dot product of two vectors of block floating point values. A block of multiplier circuits generates products from first pairs of p-terms. Each p-term is a portion of a significand of one of the floating point operands when operating in the first mode, or a significand of one of the block floating point values when operating in the second mode. An adder tree that is coupled to the block of multiplier circuits sums the products into a final sum. A floating point conversion circuit is configured to generate a floating point value from the final sum and the floating point operands in response to operating in the first mode, and generate a block floating point value from the final sum in response to operating in the second mode.

IPC Classes  ?

  • G06F 7/487 - Multiplying; Dividing
  • G06F 7/485 - Adding; Subtracting
  • G06F 7/544 - Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using unspecified devices for evaluating functions by calculation

6.

SWITCHING BETWEEN REDUNDANT AND NON-REDUNDANT MODES OF SOFTWARE EXECUTION

      
Application Number 17962093
Status Pending
Filing Date 2022-10-07
First Publication Date 2024-04-11
Owner Xilinx, Inc. (USA)
Inventor Bhardwaj, Pramod Bindumadhav

Abstract

Executing critical and non-critical sections of program code include executing a non-critical section of a first program by a first processor and executing a non-critical section of a second program by a second processor. The first processor signals the second processor with context to commence redundant execution of the critical section. The second processor switches from executing the second program to executing the critical section of the first program. The first processor executes the critical section of the first program concurrent with the second processor.

IPC Classes  ?

  • G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead
  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines

7.

INTEGRATED CIRCUIT TRANSACTION REDUNDANCY

      
Application Number 17957418
Status Pending
Filing Date 2022-09-30
First Publication Date 2024-04-04
Owner XILINX, INC. (USA)
Inventor
  • Srinivasan, Krishnan
  • Arbel, Ygal
  • Ahmad, Sagheer
  • Azad, Sarosh I.
  • Bhardwaj, Pramod
  • Chen, Yanran
  • Murray, James

Abstract

Techniques to provide transaction redundancy in an IC include receiving an original memory access request directed to a first memory aperture, constructing a redundant memory access directed to a second memory aperture, and selectively returning a response of the first or second memory aperture to an originator based on contents of the responses. For a write operation, if acknowledgement indicators of the responses indicate success, a response is returned to the originator. For a read operation, if acknowledgement indicators of the responses indicate success and data returned in the response match one another, a response is returned to the originator. If the acknowledgement indicators indicate success, but the data does not match, a retry of the original and redundant read requests is initiated. If any of the acknowledgement indicators do not indicate success, an error is declared. In a mixed-criticality embodiment, the redundant memory access request may be constructed selectively.

IPC Classes  ?

  • G06F 13/16 - Handling requests for interconnection or transfer for access to memory bus
  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance

8.

PIM CANCELLATION ARCHITECTURE

      
Application Number 17959079
Status Pending
Filing Date 2022-10-03
First Publication Date 2024-04-04
Owner XILINX, INC. (USA)
Inventor
  • Zhao, Hongzhi
  • Erdmann, Christophe
  • Parekh, Hemang M.
  • Zhao, Xing
  • Chen, Xiaohan

Abstract

Embodiments herein describe a PIM correction circuit. In a base station, TX and RX RF changes, band pass filters, duplexers, and diplexers can have severe memory effects due to their sharp transition bandwidth from pass band to stop band. PIM interference, generated by the TX signals and reflected onto the RX RF chain will include these memory effects. These memory effects make PIM cancellation complex, requiring complicated computations and circuits. However, the embodiments herein use a PIM correction circuit that separates the memory effects of the TX and RX paths from the memory effects of PIM, thereby reducing PIM cancellation complexity and hardware implementation cost.

IPC Classes  ?

9.

SATISFYING CIRCUIT DESIGN CONSTRAINTS USING A COMBINATION OF MACHINE LEARNING MODELS

      
Application Number 17959038
Status Pending
Filing Date 2022-10-03
First Publication Date 2024-04-04
Owner Xilinx, Inc. (USA)
Inventor
  • Bachina, Satish
  • P, Karthic
  • Tripathi, Vishal
  • Dasasathyan, Srinivasan

Abstract

Multiple classifier models are applied to features of a circuit design after processing the design through a first phase of an implementation flow. Each classifier model is associated with one of multiple directives, the directives are associated with a second phase of the implementation flow, and each classifier model returns a value indicative of likelihood of improving a quality metric. Regressor models of each set of a plurality of sets of regressor models are applied to the features. Each directive is associated with one of the sets of regressor models, and a combined score from each set of regressor models indicates a likelihood of satisfying a constraint. The directives are ranked based on the values indicated by the classifier models and scores from the sets of regressor models, and the circuit design is processed n the second phase of the implementation flow by the design tool using the directive having the highest rank.

IPC Classes  ?

  • G06F 30/32 - Circuit design at the digital level

10.

NOC BUFFER MANAGEMENT FOR VIRTUAL CHANNELS

      
Application Number 17959903
Status Pending
Filing Date 2022-10-04
First Publication Date 2024-04-04
Owner XILINX, INC. (USA)
Inventor
  • Srinivasan, Krishnan
  • Morshed, Abbas
  • Ahmad, Sagheer

Abstract

Embodiments herein describe a NoC where its internal switches have buffers with pods that can be assigned to different virtual channels. A subset of the pods in a buffer can be grouped together to form a VC. In this manner, different pod groups in a buffer can be assigned to different VCs (or to different types of NoC data units), where VCs that transmit wider data units can be assigned more pods than VCs that transmit narrower data units.

IPC Classes  ?

11.

Integrated circuit package with voltage droop mitigation

      
Application Number 17357089
Grant Number 11950358
Status In Force
Filing Date 2021-06-24
First Publication Date 2024-04-02
Grant Date 2024-04-02
Owner XILINX, INC. (USA)
Inventor
  • Lambrecht, Frank Peter
  • Philofsky, Brian D.
  • Shi, Hong
  • Raha, Prasun

Abstract

A semiconductor device system comprises an integrated circuit (IC) die. The IC die is configured to operate in a first operating mode during a first period, and a second operating mode during a second period. The first period is associated with enabling an element of the IC die and a first amount of voltage droop. The second period occurs after the first period and is associated with a second amount of voltage droop. The second amount of voltage droop is less than the first amount of voltage droop.

IPC Classes  ?

12.

Polyphase filter control scheme for fractional resampler systems

      
Application Number 17320539
Grant Number 11949395
Status In Force
Filing Date 2021-05-14
First Publication Date 2024-04-02
Grant Date 2024-04-02
Owner XILINX, INC. (USA)
Inventor
  • Wade, Rhona
  • Mcgrath, John Edward

Abstract

Embodiments herein describe a hardened fractional resampler that includes a fixed filter that supports simultaneous processing of N input samples with minimal additional combinational logic and no additional multipliers. In one embodiment, the fractional resampler is implemented in an integrated circuit using hardened circuit. The embodiments below exploit a pattern in the order filter phases in fractional resampling systems (such as a SSR resampling system) to use filter phases in a single fixed filter to process multiple input samples in parallel, where these filter phases would have been unused in previous resampling systems.

IPC Classes  ?

  • H03M 7/00 - Conversion of a code where information is represented by a given sequence or number of digits to a code where the same information is represented by a different sequence or number of digits
  • H03H 17/02 - Frequency-selective networks
  • H03M 1/12 - Analogue/digital converters

13.

CLOCK TREE ROUTING IN A CHIP STACK

      
Application Number 18521301
Status Pending
Filing Date 2023-11-28
First Publication Date 2024-03-28
Owner XILINX, INC. (USA)
Inventor Gaide, Brian C.

Abstract

Examples described herein generally relate to clock tree routing in a chip stack. In an example, a multi-chip device includes a chip stack. The chip stack includes chips. The chip stack includes a clock tree. In-chip routing of the clock tree is contained within one logical chip of the chip stack. The chip stack includes leaf nodes disposed in respective chips. Each leaf node of the leaf nodes is electrically connected to the clock tree through a respective leaf-level connection bridge. The respective leaf-level connection bridge extends in an out-of-chip direction through a plurality of the chips.

IPC Classes  ?

  • G06F 1/10 - Distribution of clock signals
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

14.

YIELD RECOVERY SCHEME FOR MEMORY

      
Application Number 17950022
Status Pending
Filing Date 2022-09-21
First Publication Date 2024-03-21
Owner XILINX, INC. (USA)
Inventor Gaide, Brian C.

Abstract

A yield recovery scheme for configuration memory of an IC device includes asserting an override configuration value on a bitline of memory cells of the configuration memory, where a data node of a faulty one of the memory cells is coupled to a node of configurable circuitry of the IC device, and asserting a wordline of the faulty memory cell while the override configuration value is asserted on the bitline to couple the bitline to the node of the configurable circuitry through the faulty memory cell (i.e., to force a state of the data node to the override configuration value). An identifier of the faulty memory cell may be stored on the IC device (e.g., E-fuses), and control circuitry of the IC device may retrieve the identifier to configure override circuitry of the IC device.

IPC Classes  ?

15.

IMPLEMENTING DATA FLOWS OF AN APPLICATION ACROSS A MEMORY HIERARCHY OF A DATA PROCESSING ARRAY

      
Application Number 17934153
Status Pending
Filing Date 2022-09-21
First Publication Date 2024-03-21
Owner Xilinx, Inc. (USA)
Inventor
  • Hsu, Chia-Jui
  • Sivaraman, Mukund
  • Kathail, Vinod K.

Abstract

Implementing data flows of an application across a memory hierarchy of a data processing array includes receiving a data flow graph specifying an application for execution on the data processing array. A plurality of buffer objects corresponding to a plurality of different levels of the memory hierarchy of the data processing array and an external memory are identified. The plurality of buffer objects specify data flows. Buffer object parameters are determined. The buffer object parameters define properties of the data flows. Data that configures the data processing array to implement the data flows among the plurality of different levels of the memory hierarchy and the external memory is generated based on the plurality of buffer objects and the buffer object parameters.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

16.

Error aware module redundancy for machine learning

      
Application Number 17094598
Grant Number 11934932
Status In Force
Filing Date 2020-11-10
First Publication Date 2024-03-19
Grant Date 2024-03-19
Owner XILINX, INC. (USA)
Inventor
  • Gambardella, Giulio
  • Fraser, Nicholas
  • Zahid, Ussama
  • Blott, Michaela
  • Vissers, Kornelis A.

Abstract

Examples herein propose operating redundant ML models which have been trained using a boosting technique that considers hardware faults. The embodiments herein describe performing an evaluation process where the performance of a first ML model is measured in the presence of a hardware fault. The errors introduced by the hardware fault can then be used to train a second ML model. In one embodiment, a second evaluation process is performed where the combined performance of both the first and second trained ML models is measured in the presence of a hardware fault. The resulting errors can then be used when training a third ML model. In this manner, the three trained ML models are trained to be error aware. As a result, during operation, if a hardware fault occurs, the three ML models have better performance relative to three ML models that where not trained to be error aware.

IPC Classes  ?

17.

MULTIPLE PARTITIONS IN A DATA PROCESSING ARRAY

      
Application Number 18509128
Status Pending
Filing Date 2023-11-14
First Publication Date 2024-03-14
Owner Xilinx, Inc. (USA)
Inventor
  • Serra, Juan J. Noguera
  • Tuan, Tim
  • Rodriguez, Javier Cabezas
  • Clarke, David
  • Mccolgan, Peter
  • Dickman, Zachary Blaise
  • Mathur, Saurabh
  • Kasibhatla, Amarnath
  • Quesada, Francisco Barat

Abstract

An apparatus includes a data processing array having a plurality of array tiles. The plurality of array tiles include a plurality of compute tiles. The compute tiles include a core coupled to a random-access memory (RAM) in a same compute tile and to a RAM of at least one other compute tile. The data processing array is subdivided into a plurality of partitions. Each partition includes a plurality of array tiles including at least one of the plurality of compute tiles. The apparatus includes a plurality of clock gate circuits being programmable to selectively gate a clock signal provided to a respective one of the plurality of partitions.

IPC Classes  ?

  • H03K 19/1776 - Structural details of configuration resources for memories
  • G11C 5/02 - Disposition of storage elements, e.g. in the form of a matrix array
  • H03K 19/17764 - Structural details of configuration resources for reliability
  • H03K 19/17784 - Structural details for adapting physical parameters for supply voltage

18.

Flexible data-driven software control of reconfigurable platforms

      
Application Number 17170427
Grant Number 11922223
Status In Force
Filing Date 2021-02-08
First Publication Date 2024-03-05
Grant Date 2024-03-05
Owner Xilinx, Inc. (USA)
Inventor
  • Donlin, Adam P.
  • Corbett, Kyle
  • Hou, Lizhi
  • Kain, Julian M.

Abstract

Control of a reconfigurable platform can include determining, by a host computer, an interface universally unique identifier (UUID) of an interface of platform circuitry implemented on an accelerator, wherein the accelerator is communicatively linked to the host computer. An electronic request to run a partition design on the accelerator is received by the host computer. In response to the electronic request, the host computer determines an interface UUID for an interface of the partition design and determines compatibility of the partition design with the platform circuitry based on a comparison of the interface UUID of the partition design with the interface UUID of the platform circuitry. The partition design is implemented on the accelerator in response to determining that the partition design is compatible with the platform circuitry.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead
  • H04L 9/06 - Arrangements for secret or secure communications; Network security protocols the encryption apparatus using shift registers or memories for blockwise coding, e.g. D.E.S. systems

19.

DTC nonlinearity correction

      
Application Number 18102066
Grant Number 11923857
Status In Force
Filing Date 2023-01-26
First Publication Date 2024-03-05
Grant Date 2024-03-05
Owner XILINX, INC. (USA)
Inventor
  • Zhang, Hongtao
  • Jain, Ankur
  • Chen, Yanfei
  • Casey, Ronan Sean
  • Lin, Winson
  • Im, Hsung Jai

Abstract

Embodiments herein describe correcting nonlinearity in a Digital-to-Time Converter (DTC) by relaxing a DTC linearity requirement, which results in the correction being co-adapted with a DTC gain calibration loop which can operate in parallel with a DTC integral nonlinearity (INL) correction loop. In one embodiment, the DTC gain calibration loop and the DTC INL correction loop are constrained when determining a nonlinearity correction code to improve the likelihood they converge. Once determined, the nonlinearity correction code can be combined with an digital code output by a time-to-digital converter (TDC) to generate a phase difference between a reference clock and a feedback clock.

IPC Classes  ?

  • H03L 7/08 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop
  • H03L 7/099 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
  • H03M 1/82 - Digital/analogue converters with intermediate conversion to time interval

20.

FRACTIONAL LOGARITHMIC NUMBER SYSTEM ADDER

      
Application Number 17894873
Status Pending
Filing Date 2022-08-24
First Publication Date 2024-02-29
Owner Xilinx, Inc. (USA)
Inventor
  • Wang, Erwei
  • Bayliss, Samuel Richard
  • James-Roxby, Philip

Abstract

An adder for fractional logarithmic number system (FLNS) format operands includes a compare-and-swap circuit that inputs first and second FLNS operands represented by fixed point values and provides a greater one as operand x and a lesser or equal one as operand y. Sign bits are sx and sy of x and y, respectively, qx and qy, are integer portions of x and y, respectively, fraction portions of x and y have integer values rx and ry, respectively. The compare-and-swap circuit is configured to provide sx as a sign bit, sz of a sum z=x(1+y/x) for x≠0. A subtraction circuit subtracts (qy+ry/n)−(qx+rx/n) and outputs qα and rα, such that α=y/x, where n=2wr and wr is a bit-width of rx and ry. An approximation circuit provides an approximation of (1+α) to a nearest FLNS value, β, as fixed point value having an integer portion qβ and a fraction portion that has an integer value rβ. A summing circuit adds qx+rx/n+qβ+rβ/n in response to sx=sy, and subtracts qx+rx/n−qβ−rβ/n in response to sx≠sy, to provide the sum as a fixed point value having an integer portion qz and a fraction portion that as an integer has a value rz.

IPC Classes  ?

  • G06F 7/483 - Computations with numbers represented by a non-linear combination of denominational numbers, e.g. rational numbers, logarithmic number system or floating-point numbers
  • G06F 7/499 - Denomination or exception handling, e.g. rounding or overflow

21.

HOST ENDPOINT ADAPTIVE COMPUTE COMPOSABILITY

      
Application Number US2023019312
Publication Number 2024/043951
Status In Force
Filing Date 2023-04-20
Publication Date 2024-02-29
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Mittal, Millind

Abstract

Embodiments herein describe a processor system that inciudes an integrated, adaptive accelerator. In one embodiment, the processor system includes multiple core complex chiplets that each contain one or processing cores for a host CPU. In addition the processor system inciudes an accelerator chiplet. The processor system can assign one or more of the core complex chiplets to the accelerator chiplet to form an IO device while the remaining core complex chiplets form the CPU for the host. In this manner, rather than the accelerator and the CPU having independent computer resources, the accelerator can be integrated into the processor system of the host so that hardware resources can be divided between the CPU and the accelerator depending on the needs of the particular application(s) executed by the host.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06F 15/78 - Architectures of general purpose stored program computers comprising a single central processing unit

22.

INSTRUCTION GENERATION AND PROGRAMMING MODEL FOR A DATA PROCESSING ARRAY AND MICROCONTROLLER

      
Application Number 17823902
Status Pending
Filing Date 2022-08-31
First Publication Date 2024-02-29
Owner Xilinx, Inc. (USA)
Inventor
  • Tuyls, Jorn
  • Teng, Xiao
  • Pandit, Sanket
  • Patwari, Rajeev
  • Zhou, Qian
  • Ghasemi, Ehsan
  • Wu, Ephrem C.
  • Delaye, Elliott
  • Ng, Aaron

Abstract

Instruction generation for a data processing array and microcontroller includes generating a tensor-level intermediate representation from a machine learning model using kernel expressions. Statements of the tensor-level intermediate representation are partitioned into a first set of statements and a second set of statements. From the first set of statements, kernel instructions are generated based on a reconfigurable neural engine model. The kernel instructions are executable by a compute tile of a data processing array to implement compute functions of the machine learning model. From the set of second statements, microcontroller instructions are generated based on a super-graph model. The microcontroller instructions are executable by a microcontroller of the data processing array to move data into and out from the data processing array.

IPC Classes  ?

  • G05B 19/042 - Programme control other than numerical control, i.e. in sequence controllers or logic controllers using digital processors

23.

CHIP PACKAGE WITH INTEGRATED EMBEDDED OFF-DIE INDUCTORS

      
Application Number 17896972
Status Pending
Filing Date 2022-08-26
First Publication Date 2024-02-29
Owner XILINX, INC. (USA)
Inventor
  • Shi, Hong
  • Weng, Li-Sheng
  • Lambrecht, Frank Peter
  • Jing, Jing
  • Wu, Shuxian

Abstract

A chip package and method for fabricating the same are provided that includes embedded off-die inductors coupled in series. One of the off-die inductors is disposed in a redistribution layer formed on a bottom surface of an integrated circuit (IC) die. The other of the series connected off-die inductors is disposed in a substrate of the chip package. The substrate may be either an interposer or a package substrate.

IPC Classes  ?

  • H01L 23/64 - Impedance arrangements
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/498 - Leads on insulating substrates

24.

ADAPTIVE INTEGRATED PROGRAMMABLE DATA PROCESSING UNIT

      
Application Number US2023018476
Publication Number 2024/043949
Status In Force
Filing Date 2023-04-13
Publication Date 2024-02-29
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Riddoch, David James
  • Pope, Steven Leslie

Abstract

An integrated circuit device includes multiple heterogeneous functional circuit blocks and interface circuitry that permits the heterogeneous functional circuit blocks to exchange data with one another using communication protocols of the respective heterogeneous functional circuit blocks. The IC device includes fixed-function circuitry, user-configurable circuitry (e.g., programmable logic), and/or embedded processors/cores. A functional circuit block may be configured in fixed-function circuitry or in the user-configurable circuitry (i.e., as a plug-in). The interface circuitry includes a network-on-a-chip (NoC), an adaptor configured in the user-configurable circuitry, and/or memory. The memory may be accessible to the functional circuit blocks through an adaptor configured the user-configurable circuitry and/or through the NoC. The IC device may be configured as a SmartNIC, DPU, or other type of system-on-a-chip (SoC).

IPC Classes  ?

25.

MULTI-TENANT AWARE DATA PROCESSING UNITS

      
Application Number US2023019677
Publication Number 2024/043952
Status In Force
Filing Date 2023-04-24
Publication Date 2024-02-29
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Riddoch, David James

Abstract

Embodiments herein describe creating tag bindings that can be used to assign tags to data corresponding to different tenants using a data processing unit (DPU) such as a SmartNIC, Artificial Intelligence Unit, Network Storage Unit, Database Acceleration Units, and the like. In one embodiment, the DPUs include tag gateways at the interface between a host and network element (e.g., a switch) that recognize and tag the data corresponding to the tenants. These tags are then recognized by data processing engines (DPEs) in the DPU such as Al engines, cryptographic engines, encryption engines, Direct Memory Access (DMA) engines, and the like. These DPEs can be configured to perform tag policies that provide security isolation and performance isolation between the tenants.

IPC Classes  ?

  • G06F 15/173 - Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star or snowflake
  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]

26.

Memory self-refresh re-entry state

      
Application Number 17377016
Grant Number 11914905
Status In Force
Filing Date 2021-07-15
First Publication Date 2024-02-27
Grant Date 2024-02-27
Owner XILINX, INC. (USA)
Inventor Newman, Martin

Abstract

Examples describe memory refresh operations for memory subsystems. One example is a method for a memory controller, the method including entering a first state upon exiting self-refresh state, wherein the first state comprises activating a first timer. The method includes entering a second state from the first state upon detecting an end of an active period and detecting that the first timer has not expired. The method includes entering a third state from the second state upon detecting expiration of the second state, wherein the third state comprises re-entering the self-refresh state.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 13/16 - Handling requests for interconnection or transfer for access to memory bus

27.

HOST ENDPOINT ADAPTIVE COMPUTE COMPOSABILITY

      
Application Number 17892955
Status Pending
Filing Date 2022-08-22
First Publication Date 2024-02-22
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Mittal, Millind

Abstract

Embodiments herein describe a processor system that includes an integrated, adaptive accelerator. In one embodiment, the processor system includes multiple core complex chiplets that each contain one or processing cores for a host CPU. In addition the processor system includes an accelerator chiplet. The processor system can assign one or more of the core complex chiplets to the accelerator chiplet to form an IO device while the remaining core complex chiplets form the CPU for the host. In this manner, rather than the accelerator and the CPU having independent computer resources, the accelerator can be integrated into the processor system of the host so that hardware resources can be divided between the CPU and the accelerator depending on the needs of the particular application(s) executed by the host.

IPC Classes  ?

  • G06F 15/78 - Architectures of general purpose stored program computers comprising a single central processing unit

28.

SYSTEMS AND METHODS TO EXTRACT BEAMFORMING PARAMETERS AT A RADIO UNIT (RU) OF A RADIO ACCESS NETWORK (RAN)

      
Application Number 17890134
Status Pending
Filing Date 2022-08-17
First Publication Date 2024-02-22
Owner XILINX, INC. (USA)
Inventor Ruan, Ming

Abstract

Embodiments herein describe a radio unit (RU) of a radio access network (RAN), that extracts payload data and beamforming parameters from matrices received from a base station based on format parameters of the matrices and format parameters of channel state information resource signal resource elements (CSI-RS REs). The matrices include a payload matrix and first and second bit mask matrices. Locations of CSI-RS REs are determined based on the bit mask matrices. The payload matrix is separated into CSI-RS RE and non-CSI-RS RE payload matrices based on the locations of the CSI-RS REs. CSI-RS REs and the non-CSI-RS REs beamforming weight matrices are recovered from the bit mask matrices based on known features of the CSI-RS REs and the bit mask matrices. Digital downlink beamforming is performed based on the recovered payload matrices and beamforming weight matrices.

IPC Classes  ?

  • H04B 7/06 - Diversity systems; Multi-antenna systems, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station

29.

SOFTMAX AND LOG SOFTMAX METHOD AND SYSTEM

      
Application Number 17892852
Status Pending
Filing Date 2022-08-22
First Publication Date 2024-02-22
Owner Xilinx, Inc. (USA)
Inventor
  • Yang, Wenzong
  • Xi, Wang
  • Li, Yadong
  • Wang, Junbin
  • Fang, Shaoxia

Abstract

Circuits and methods for determining a maximum bias for computing softmax on a tensor include a processor circuit configured to transform in parallel, elements of each group of a plurality of groups of elements of a tensor X into respective power-of-two elements. The respective power-of-two element from element xt of the tensor is pt, pt=(xt*log2e), and pt has an integer part and a fraction part. A first comparison circuit (204) is configured to determine respective group-level biases for the groups. The group-level bias of groupm is dm, and dm is an integer part of a maximum of the power-of-two elements of groupm. A second comparison circuit is configured to determine a greatest one of the respective group-level biases to be a tensor-level bias, dmax.

IPC Classes  ?

  • G06F 17/16 - Matrix or vector computation
  • G06F 7/544 - Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using unspecified devices for evaluating functions by calculation
  • G06F 7/552 - Powers or roots
  • G06F 7/499 - Denomination or exception handling, e.g. rounding or overflow

30.

ADAPTIVE INTEGRATED PROGRAMMABLE DATA PROCESSING UNIT

      
Application Number 17892949
Status Pending
Filing Date 2022-08-22
First Publication Date 2024-02-22
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Riddoch, David James
  • Pope, Steven Leslie

Abstract

An integrated circuit device includes multiple heterogeneous functional circuit blocks and interface circuitry that permits the heterogeneous functional circuit blocks to exchange data with one another using communication protocols of the respective heterogeneous functional circuit blocks. The IC device includes fixed-function circuitry, user-configurable circuitry (e.g., programmable logic), and/or embedded processors/cores. A functional circuit block may be configured in fixed-function circuitry or in the user-configurable circuitry (i.e., as a plug-in). The interface circuitry includes a network-on-a-chip (NoC), an adaptor configured in the user-configurable circuitry, and/or memory. The memory may be accessible to the functional circuit blocks through an adaptor configured the user-configurable circuitry and/or through the NoC. The IC device may be configured as a SmartNIC, DPU, or other type of system-on-a-chip (SoC).

IPC Classes  ?

  • G06F 13/40 - Bus structure
  • G06F 13/28 - Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access, cycle steal

31.

MULTI-TENANT AWARE DATA PROCESSING UNITS

      
Application Number 17892989
Status Pending
Filing Date 2022-08-22
First Publication Date 2024-02-22
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Riddoch, David James

Abstract

Embodiments herein describe creating tag bindings that can be used to assign tags to data corresponding to different tenants using a data processing unit (DPU) such as a SmartNIC, Artificial Intelligence Unit, Network Storage Unit, Database Acceleration Units, and the like. In one embodiment, the DPUs include tag gateways at the interface between a host and network element (e.g., a switch) that recognize and tag the data corresponding to the tenants. These tags are then recognized by data processing engines (DPEs) in the DPU such as AI engines, cryptographic engines, encryption engines, Direct Memory Access (DMA) engines, and the like. These DPEs can be configured to perform tag policies that provide security isolation and performance isolation between the tenants.

IPC Classes  ?

  • G06F 13/20 - Handling requests for interconnection or transfer for access to input/output bus

32.

BUFFER CIRCUITRY HAVING IMPROVED BANDWIDTH AND RETURN LOSS

      
Application Number 17884342
Status Pending
Filing Date 2022-08-09
First Publication Date 2024-02-15
Owner XILINX, INC. (USA)
Inventor Francis, Roswald

Abstract

An electronic system includes a buffer and analog-to-digital circuitry. The buffer includes buffer circuitry that includes an input node that receives an input signal. The buffer circuitry further includes coil circuitry that is electrically connected to the input node and a first node. The coil circuitry includes a first inductor and a second inductor. Further, the buffer circuitry includes a resistor that is electrically connected to the first node and a second node. A capacitor of the buffer circuitry is electrically connected to the second node and a third node. The third node is disposed between the first inductor and the second inductor. The buffer circuitry is configured to output an output signal based on the input signal.

IPC Classes  ?

  • H03K 19/0944 - Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors using MOSFET
  • H03K 19/0185 - Coupling arrangements; Interface arrangements using field-effect transistors only
  • H03M 1/12 - Analogue/digital converters
  • H03K 19/0175 - Coupling arrangements; Interface arrangements

33.

CHIP PACKAGE WITH CORE EMBEDDED INTEGRATED DEVICES

      
Application Number 17888293
Status Pending
Filing Date 2022-08-15
First Publication Date 2024-02-15
Owner XILINX, INC. (USA)
Inventor
  • Weng, Li-Sheng
  • Ramalingam, Suresh

Abstract

A chip package and methods for fabricating the same are provided that include integrated devices embedded and coupled in series between a lower surface of a package substrate and an integrated circuit die of the chip package. In some examples, the integrated devices are disposed side by side embedded in a common package substrate. In other examples, one of the series coupled integrated devices is embedded in a first package substrate while another of the series coupled integrated devices is embedded in a second package substrate that is stacked directly in contact with the first package substrate. The integrated devices may be passive and/or active integrated devices.

IPC Classes  ?

  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
  • H01L 49/02 - Thin-film or thick-film devices
  • H01L 23/498 - Leads on insulating substrates
  • H01L 21/50 - Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the groups

34.

INSTRUCTION SET ARCHITECTURE FOR DATA PROCESSING ARRAY CONTROL

      
Application Number 17818309
Status Pending
Filing Date 2022-08-08
First Publication Date 2024-02-08
Owner Xilinx, Inc. (USA)
Inventor
  • Teng, Xiao
  • Siddagangaiah, Tejus
  • Lozano, Bryan
  • Ghasemi, Ehsan
  • Patwari, Rajeev
  • Delaye, Elliott
  • Tuyls, Jorn
  • Ng, Aaron
  • Pandit, Sanket
  • Peethambaran, Pramod
  • Pareek, Satyaprakash

Abstract

Controlling a data processing (DP) array includes creating a replica of a register address space of the DP array based on the design and the DP array. A sequence of instructions, including write instructions and read instructions, is received. The write instructions correspond to buffer descriptors specifying runtime data movements for a design for a DP array. The write instructions are converted into transaction instructions and the read instructions are converted into wait instructions based on the replica of the register address space. The transaction instructions and the wait instructions are included in an instruction buffer. The instruction buffer is provided to a microcontroller configured to execute the transaction instructions and the wait instructions to implement the runtime data movements for the design as implemented in the DP array. In another aspect, the instruction buffer is stored in a file for subsequent execution by the microcontroller.

IPC Classes  ?

  • G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead
  • G06F 9/46 - Multiprogramming arrangements
  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

35.

CONNECTIVITY LAYER IN 3D DEVICES

      
Application Number 17879670
Status Pending
Filing Date 2022-08-02
First Publication Date 2024-02-08
Owner XILINX, INC. (USA)
Inventor Blair, Zachary

Abstract

Embodiments herein describe a 3D stack of dies (e.g., an active-on-active (AoA) stack) with a connectivity die that enables the decoupling of processing regions in coupled dies from each other and from the physical location of I/O blocks on an I/O die. For example, the first die may have a plurality of hardware processing blocks that are arranged in a regular manner (e.g., an array with rows and columns). The connectivity die can include interconnects that couple these hardware processing blocks to I/O blocks in a second die. These I/O blocks may be arranged in an irregular manner. The interconnects in the connectivity die can provide fair access so that processing blocks on a first side of the first die can access an I/O block on the opposite side of the second die without using resources for neighboring processing blocks.

IPC Classes  ?

  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • G06F 12/1027 - Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]
  • G06F 15/78 - Architectures of general purpose stored program computers comprising a single central processing unit

36.

Systems and Methods to Transport Memory Mapped Traffic amongst integrated circuit devices

      
Application Number 17879675
Status Pending
Filing Date 2022-08-02
First Publication Date 2024-02-08
Owner XILINX, INC. (USA)
Inventor
  • Srinivasan, Krishnan
  • Arbel, Ygal
  • Ahmad, Sagheer
  • Morshed, Abbas

Abstract

Embodiments herein describe a decentralized chip-to-chip (C2C) interface architecture to transport memory mapped traffic amongst heterogeneous IC devices in a packetized, scalable, and configurable manner. An IC chip may include functional circuitry that exchanges memory-mapped traffic with an off-chip device, a NoC that packetizes and de-packetizes memory-mapped traffic and routes the packetized memory-mapped traffic between the functional circuitry and the off-chip device, and NoC inter-chip bridge (NICB) circuitry that interfaces between the NoC and the off-chip device over C2C interconnections. The NICB circuitry may be configurable in a full mode to map packetized memory-mapped traffic to the C2C interconnections in a 1:1 fashion and in a compressed to map packetized memory-mapped traffic to the C2C interconnections in a less-than 1:1 fashion.

IPC Classes  ?

37.

REGISTER INTEGRITY CHECK IN CONFIGURABLE DEVICES

      
Application Number 17883379
Status Pending
Filing Date 2022-08-08
First Publication Date 2024-02-08
Owner XILINX, INC. (USA)
Inventor
  • Ansari, Ahmad R.
  • Schultz, David P.
  • Burton, Felix
  • Cuppett, Jeffrey

Abstract

Embodiments herein describe integrity check techniques that are efficient and flexible by using local registers in a segment to store check values which can be used to detect errors in the local configuration data in the same segment. In addition to containing local registers storing the check values, each segment can include a mask register indicated which of the configuration registers should be checked and which can be ignored. Further, the segments can include a next segment register indicating the next segment the check engine should evaluate for errors.

IPC Classes  ?

  • G06F 11/07 - Responding to the occurrence of a fault, e.g. fault tolerance
  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

38.

LATENCY BALANCING OF PATHS IN MULTI-PROCESSOR COMPUTING ARCHITECTURE DESIGNS FOR DEADLOCK AVOIDANCE

      
Application Number 17818341
Status Pending
Filing Date 2022-08-08
First Publication Date 2024-02-08
Owner Xilinx, Inc. (USA)
Inventor Tibrewala, Krishnam

Abstract

Providing first-in-first-out (FIFO) memory guidance for a multi-processor computing architecture includes compiling a design for a data processing array to generate a compiled design. The compiled design is mapped and routed to the data processing array. The compiled design is simulated using a modified device model of the data processing array. The modified device model uses infinite FIFO models. FIFO memory usage data is generated by tracking amounts of data stored in the infinite FIFO memory models during runtime of the simulation of the compiled design. FIFO memory requirements for one or more nets of the design are determined from the FIFO memory usage data and the compiled design.

IPC Classes  ?

  • G06F 30/3308 - Design verification, e.g. functional simulation or model checking using simulation
  • G06F 30/3323 - Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking

39.

SYSTEMS AND METHODS TO TRANSPORT MEMORY MAPPED TRAFFIC AMONGST INTEGRATED CIRCUIT DEVICES

      
Application Number US2023022605
Publication Number 2024/030170
Status In Force
Filing Date 2023-05-17
Publication Date 2024-02-08
Owner XILINX, INC. (USA)
Inventor
  • Srinivasan, Krishnan
  • Arbel, Ygal
  • Ahmad, Sagheer
  • Morshed, Abbas

Abstract

Embodiments herein describe a decentralized chip-to-chip (C2C) interface architecture to transport memory mapped traffic amongst heterogeneous IC devices in a packetized, scalable, and configurable manner. An IC chip may include functional circuitry that exchanges memory-mapped traffic with an off-chip device, a NoC that packetizes and de-packetizes memory-mapped traffic and routes the packetized memory-mapped traffic between the functional circuitry and the off-chip device, and NoC inter-chip bridge (NICE) circuitry that interfaces between the NoC and the off-chip device over C2C interconnections. The NICE circuitry may be configurable in a full mode to map packetized memory-mapped traffic to the C2C interconnections in a 1 :1 fashion and in a compressed to map packetized memory¬ mapped traffic to the C2C interconnections in a less-than 1 :1 fashion.

IPC Classes  ?

40.

METHOD FOR MITIGATING WARPAGE ON STACKED WAFERS

      
Application Number 17875226
Status Pending
Filing Date 2022-07-27
First Publication Date 2024-02-01
Owner XILINX, INC. (USA)
Inventor
  • Kim, Myongseob
  • Liu, Henley
  • Chang, Cheang-Whang

Abstract

Methods for mitigating warpage on stacked wafers are provided herein. In one example, a method for mitigating warpage on stacked wafers includes depositing a first warpage compensating layer on a backside of a first wafer, stacking an active side of the first wafer on an active side of a second wafer to form a wafer stack having circuitry of the first wafer electrically connected to circuitry of the second wafer, and removing the first warpage compensating layer from the backside of the first wafer prior dicing the wafer stack.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group

41.

RECONFIGURABLE NEURAL ENGINE WITH EXTENSIBLE INSTRUCTION SET ARCHITECTURE

      
Application Number US2023067147
Publication Number 2024/026160
Status In Force
Filing Date 2023-05-17
Publication Date 2024-02-01
Owner XILINX, INC. (USA)
Inventor
  • Pandit, Sanket
  • Tuyls, Jorn
  • Teng, Xiao
  • Patwari, Rajeev
  • Ghasemi, Ehsan
  • Delaye, Elliott
  • Ng, Aaron

Abstract

An integrated circuit includes a plurality of kernels and a virtual machine coupled to the plurality of kernels. The virtual machine is configured to interpret instructions directed to different ones of the plurality of kernels. The virtual machine is configured to control operation of the different ones of the plurality of kernels responsive to the instructions.

IPC Classes  ?

  • G06F 9/50 - Allocation of resources, e.g. of the central processing unit [CPU]
  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
  • G06N 20/00 - Machine learning

42.

METHOD FOR MITIGATING MEMORY ACCESS CONFLICTS IN A MULTI-CORE GRAPH COMPILER

      
Application Number 17877395
Status Pending
Filing Date 2022-07-29
First Publication Date 2024-02-01
Owner XILINX, INC. (USA)
Inventor Singh, Abnikant

Abstract

A multi-core architecture in some examples may have hundreds of “cores”, each core comprising a digital signal processor (DSP) and various functional computing units. A method of implementing a multi-core graph compiler for a system-on-chip (SOC) having a data processing engine (DPE) array is disclosed herein. An Adaptive Intelligence Engine (AIE) compiler is one example of a multi-core graph compiler. An compiler is used to mitigate performance degradation due to memory stalls (collisions) when executing an AIE compiler-accelerated application on an AI Engine. The method disclosed here addresses phase order issues to mitigate the memory collisions.

IPC Classes  ?

43.

Block design containers for circuit design

      
Application Number 17369192
Grant Number 11886789
Status In Force
Filing Date 2021-07-07
First Publication Date 2024-01-30
Grant Date 2024-01-30
Owner Xilinx, Inc. (USA)
Inventor
  • Khemka, Ayush
  • Beeravolu, Srinivas
  • Tummala, Kalyani
  • Nareddy, Jaipal Reddy
  • Boda, Adithya Balaji
  • Timmireddy, Suman Kumar

Abstract

Circuit design development using block design containers can include opening, within a development environment generated by an Electronic Design Automation (EDA) system, a top-level block design specifying a circuit design and inserting, within the top-level block design using the EDA system, a block design container. The block design container specifies a source block design used as a sub-design within the top-level block design.

IPC Classes  ?

  • G06F 30/392 - Floor-planning or layout, e.g. partitioning or placement
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
  • G06F 111/20 - Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules

44.

Acceleration-ready program development and deployment for computer systems and hardware acceleration

      
Application Number 17363920
Grant Number 11886854
Status In Force
Filing Date 2021-06-30
First Publication Date 2024-01-30
Grant Date 2024-01-30
Owner Xilinx, Inc. (USA)
Inventor Maidee, Pongstorn

Abstract

Acceleration-ready program development includes providing a software library having a plurality of functions having compute identifiers. The software library is associated with a hardware library including one or more hardware accelerated functions. The hardware accelerated functions are associated with the compute identifiers. Each hardware accelerated function is a functional equivalent of a function of the software library having the same compute identifier. A hybrid executor layer is provided that, when executed by a data processing system with an acceleration-ready computer program built using the software library, is configured to initiate execution of a selected function of the acceleration-ready computer program using a processor of the data processing system or invoke a hardware accelerated function having a compute identifier matching the compute identifier of the selected function based on comparing acceleration criteria with acceleration rules.

IPC Classes  ?

  • G06F 8/61 - Installation
  • G06F 9/448 - Execution paradigms, e.g. implementations of programming paradigms
  • G06F 9/445 - Program loading or initiating

45.

Method and apparatus for memory management in a video processing system

      
Application Number 18144692
Grant Number 11887558
Status In Force
Filing Date 2023-05-08
First Publication Date 2024-01-30
Grant Date 2024-01-30
Owner XILINX, INC. (USA)
Inventor
  • Srinivasan, Krishnan
  • Sheng, Qingyi
  • Li, Kam-Wang

Abstract

An integrated circuit (IC) includes a video buffer memory and display driver circuitry. The video buffer memory includes a buffer memory map. The video buffer memory stores one or more raster lines of video data organized as tiled lines. Each of the tiled lines including two quartiles. The display driver circuitry is coupled to the video buffer memory. The display driver circuitry writes data associated with a portion of a first data line to a first one of the two quartiles of a first one of the tiled lines, and updates the buffer memory map. Further, the display driver determines a full display line being present within the video buffer memory based on the buffer memory map. The display driver further outputs the full display line to a display device.

IPC Classes  ?

  • G09G 5/00 - Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators

46.

RECONFIGURABLE NEURAL ENGINE WITH EXTENSIBLE INSTRUCTION SET ARCHITECTURE

      
Application Number 17814817
Status Pending
Filing Date 2022-07-25
First Publication Date 2024-01-25
Owner Xilinx, Inc. (USA)
Inventor
  • Pandit, Sanket
  • Tuyls, Jorn
  • Teng, Xiao
  • Patwari, Rajeev
  • Ghasemi, Ehsan
  • Delaye, Elliott
  • Ng, Aaron

Abstract

An integrated circuit includes a plurality of kernels and a virtual machine coupled to the plurality of kernels. The virtual machine is configured to interpret instructions directed to different ones of the plurality of kernels. The virtual machine is configured to control operation of the different ones of the plurality of kernels responsive to the instructions.

IPC Classes  ?

  • G06F 15/80 - Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
  • G06F 9/455 - Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines

47.

WIDEBAND DIGITAL STEP ATTENUATOR AND BUFFER CIRCUITRY FOR A RECEIVER SYSTEM

      
Application Number 17871699
Status Pending
Filing Date 2022-07-22
First Publication Date 2024-01-25
Owner XILINX, INC. (USA)
Inventor Francis, Roswald

Abstract

Attenuation circuitry for a wireless receiver system receives and attenuates an input signal. The attenuation circuitry includes an input pin, coil circuitry, capacitor network circuitry, and inverter circuitry. The input pin receives the input signal. The coil circuitry is electrically connected to the input pin, receives the input signal from the input pin, and outputs an adjusted signal from the input signal. The capacitor network circuitry is electrically connected to the coil circuitry. The capacitor network circuitry receives the adjusted signal from the coil circuitry, and outputs an attenuated signal from the adjusted signal. The inverter circuitry is electrically connected to the capacitor network circuitry. The inverter circuitry receives the attenuated signal and generates an output signal from the attenuated signal. The output signal is output from the attenuation circuitry via an output inductor.

IPC Classes  ?

48.

LOCK AND BUFFER SCHEDULING IN MULTI-CORE ARCHITECTURES

      
Application Number 17871705
Status Pending
Filing Date 2022-07-22
First Publication Date 2024-01-25
Owner XILINX, INC. (USA)
Inventor Agarwal, Ajit Kumar

Abstract

Application code is compiled to generate code to be executed by the cores of a multi-core architecture. Generating the code includes mapping kernels of the application onto the DPEs, and generating main code for cores of the DPEs. The main code is generated by initializing locks for each kernel associated with the cores the DPEs. The locks are associated with input ports and output ports of the kernels. Further, buffers are initialized for the kernels. The buffers are associated with the locks and data streams. Subsequent to initializing the locks and initializing the buffers, the kernels are executed to generate data samples from the data streams. Subsequent to executing the kernels, the locks are released for subsequent calls of the kernels.

IPC Classes  ?

  • G06F 9/52 - Program synchronisation; Mutual exclusion, e.g. by means of semaphores
  • G06F 9/54 - Interprogram communication

49.

DISTRIBUTED CONFIGURATION OF PROGRAMMABLE DEVICES

      
Application Number US2023017320
Publication Number 2024/015127
Status In Force
Filing Date 2023-04-03
Publication Date 2024-01-18
Owner XILINX, INC. (USA)
Inventor
  • Ansari, Ahmad R.
  • Schultz, David P.

Abstract

Embodiments herein describe a distributed configuration system for a configurable device, instead of relying solely on a central configuration manager to distribute configuration information to various subsystems in the device, the embodiments herein include configuration interface managers (CIM) that are distributed in different regions of the device, whether those regions are in one integrated circuit or include multiple integrated circuits. The embodiments can still use a central configuration manager to distribute configuration information in a device image to the plurality of Cl Ms, which can then forward the configuration information to their assigned regions.

IPC Classes  ?

  • G06F 15/78 - Architectures of general purpose stored program computers comprising a single central processing unit

50.

MEMORY CONTROLLER WITH A PREPROCESSOR

      
Application Number 17865157
Status Pending
Filing Date 2022-07-14
First Publication Date 2024-01-18
Owner XILINX, INC. (USA)
Inventor
  • Arbel, Ygal
  • Newman, Martin
  • Chinnappan, Arul

Abstract

A state-of-the-art memory controller and methods for using the same are disclosed. The memory controller is intended for use with dynamic random-access memory (DRAM) circuitry. In one example, a memory controller includes a reordering preprocessor circuitry coupled to a reordering scheduler circuitry. The reordering scheduler circuitry is configured to control a reordering scheduler queue, and is coupled to DRAM circuitry. The reordering preprocessor circuitry is configured to control a preprocessor queue and reorder transactions in the preprocessor queue so as to increase the DRAM circuitry performance.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

51.

DPLL timing normalization

      
Application Number 18079649
Grant Number 11876523
Status In Force
Filing Date 2022-12-12
First Publication Date 2024-01-16
Grant Date 2024-01-16
Owner XILINX, INC. (USA)
Inventor
  • Zhang, Hongtao
  • Jain, Ankur
  • Im, Hsung Jai

Abstract

Embodiments herein describe normalizing an output of a TDC in a DPLL to a resolution of the TDC. A DTC can delay a reference clock which is then input into the TDC. The TDC outputs a digital code indicating a time difference between the delayed reference clock output by the DTC and a clock generated by a DCO in the DPLL. This digital code is normalized to a resolution of the TDC and the result is filtered by a DLF.

IPC Classes  ?

  • H03L 7/093 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using special filtering or amplification characteristics in the loop
  • H03L 7/081 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop provided with an additional controlled phase shifter
  • G04F 10/00 - Apparatus for measuring unknown time intervals by electric means
  • H03M 1/08 - Continuously compensating for, or preventing, undesired influence of physical parameters of noise
  • H03L 7/099 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop concerning mainly the controlled oscillator of the loop

52.

Flash memory emulation

      
Application Number 16684477
Grant Number 11874768
Status In Force
Filing Date 2019-11-14
First Publication Date 2024-01-16
Grant Date 2024-01-16
Owner XILINX, INC. (USA)
Inventor Steger, Daniel

Abstract

Disclosed approaches for emulating flash memory include storage circuits having respective address decoders. An input-output circuit has pins compatible with a flash memory device and is configured to input flash commands and output response signals via pins. An emulator circuit is configured to translate each flash command into one or more storage-circuit commands compatible with one storage circuit of the storage circuits, and to generate response signals compatible with the flash memory device. A translator circuit is configured to map a flash memory address in each flash command to an address of the one storage circuit, and to transmit the one or more storage-circuit commands and address to the one storage circuit.

IPC Classes  ?

  • G06F 12/02 - Addressing or allocation; Relocation
  • G06F 12/10 - Address translation
  • H03K 19/177 - Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
  • H03K 19/1776 - Structural details of configuration resources for memories
  • H03K 19/17736 - Structural details of routing resources

53.

Distributed parallel processing routing

      
Application Number 17339232
Grant Number 11875100
Status In Force
Filing Date 2021-06-04
First Publication Date 2024-01-16
Grant Date 2024-01-16
Owner XILINX, INC. (USA)
Inventor
  • Sivaswamy, Satish
  • Shakhkyan, Ashot
  • Deshmukh, Nitin
  • Mkrtchyan, Garik
  • Stenz, Guenter
  • Pinninti, Bhasker

Abstract

Examples described herein provide a non-transitory computer-readable medium storing instructions, which when executed on one or more processors, cause the one or more processors to perform operations. The operations include generating a plurality of child processes according to a number of a plurality of partitions in an integrated circuit (IC) design for an IC die, each of the plurality of child processes corresponding to and assigned to a respective one of the plurality of partitions. The operations include transmitting each of the plurality of partitions to a respective one of the plurality of child processes for routing, each of the plurality of partitions comprising a placement of components for the IC design. The operations include receiving a plurality of routings from the plurality of child processes. The operations include merging the plurality of routings into a global routing for the IC design by assembling together to form a global routing.

IPC Classes  ?

54.

COMPILER-BASED GENERATION OF TRANSACTION ACCURATE MODELS FROM HIGH-LEVEL LANGUAGES

      
Application Number 17811660
Status Pending
Filing Date 2022-07-11
First Publication Date 2024-01-11
Owner Xilinx, Inc. (USA)
Inventor
  • Mishra, Shantanu
  • Kashyap, Hemant
  • Kyatham, Uday
  • Attarde, Mahesh
  • Kasat, Amit Kasat

Abstract

Compiling a high-level synthesis circuit design for simulation includes analyzing, using computer hardware, a kernel specified in a high-level language to detect pointers therein. A determination is made as to which of the pointers are global address space pointers referencing a global address space. The kernel is instrumented by replacing accesses in the kernel to the global address space with calls to wrapper functions for performing the accesses. A simulation kernel is generated that specifies an assembly language version of the kernel as instrumented.

IPC Classes  ?

55.

DISTRIBUTED CONFIGURATION OF PROGRAMMABLE DEVICES

      
Application Number 17862257
Status Pending
Filing Date 2022-07-11
First Publication Date 2024-01-11
Owner XILINX, INC. (USA)
Inventor
  • Ansari, Ahmad R.
  • Schultz, David P.

Abstract

Embodiments herein describe a distributed configuration system for a configurable device. Instead of relying solely on a central configuration manager to distribute configuration information to various subsystems in the device, the embodiments herein include configuration interface managers (CIM) that are distributed in different regions of the device, whether those regions are in one integrated circuit or include multiple integrated circuits. The embodiments can still use a central configuration manager to distribute configuration information in a device image to the plurality of CIMs, which can then forward the configuration information to their assigned regions.

IPC Classes  ?

56.

CHIP BUMP INTERFACE COMPATIBLE WITH DIFFERENT ORIENTATIONS AND TYPES OF DEVICES

      
Application Number 18369115
Status Pending
Filing Date 2023-09-15
First Publication Date 2024-01-11
Owner XILINX, INC. (USA)
Inventor
  • Arbel, Ygal
  • Ma, Kenneth
  • Jayadev, Balakrishna
  • Ahmad, Sagheer

Abstract

Embodiments herein describe a multiple die system that includes an interposer that connects a first die to a second die. Each die has a bump interface structure that is connected to the other structure using traces in the interposer. However, the bump interface structures may have different orientations relative to each other, or one of the interface structures defines fewer signals than the other. Directly connecting the corresponding signals defined by the structures to each other may be impossible to do in the interposer, or make the interposer too costly. Instead, the embodiments here simplify routing in the interposer by connecting the signals in the bump interface structures in a way that simplifies the routing but jumbles the signals. The jumbled signals can then be corrected using reordering circuitry in the dies (e.g., in the link layer and physical layer).

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates

57.

WAVEFORM STIMULUS GENERATION

      
Application Number 17862061
Status Pending
Filing Date 2022-07-11
First Publication Date 2024-01-11
Owner Xilinx, Inc. (USA)
Inventor
  • Deshpande, Sandeep S.
  • Bandyopadhyay, Saikat

Abstract

Simulation of a waveform in a circuit simulation includes preparing, in response to a programming interface call by a testbench, a schedule of states of a signal at two or more intervals in the simulation by a simulator. The programming interface call specifies a sequence of the states and indicates durations of the states during the simulation. The signal is set to a first state of the sequence by the simulator during the simulation and then to a second state of the sequence according to the schedule.

IPC Classes  ?

  • G06F 30/367 - Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

58.

Cached system for managing state vectors

      
Application Number 17809833
Grant Number 11886344
Status In Force
Filing Date 2022-06-29
First Publication Date 2024-01-04
Grant Date 2024-01-30
Owner Xilinx, Inc. (USA)
Inventor
  • Brady, Noel J.
  • Svensson, Lars-Olof B

Abstract

A cache system includes a computational cache and a computational cache miss-handler. The computational cache is configured to cache state vectors and perform read-modify-write (RMW) operations on the cached state vectors responsive to received RMW commands. The computational cache miss-handler is configured to perform RMW operations on state vectors stored in a memory responsive to cache misses in the computational cache. The memory is external to the cache system.

IPC Classes  ?

  • G06F 12/0815 - Cache consistency protocols
  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode

59.

3D INTEGRATED CIRCUIT WITH ENHANCED DEBUGGING CAPABILITY

      
Application Number 17810547
Status Pending
Filing Date 2022-07-01
First Publication Date 2024-01-04
Owner Xilinx, Inc. (USA)
Inventor Maidee, Pongstorn

Abstract

An integrated circuit includes a plurality of layers. A subset of the plurality of layers is reserved for implementing user circuitry. At least a portion of a selected layer of the plurality of layers is reserved for debugging.

IPC Classes  ?

  • G06F 30/333 - Design for testability [DFT], e.g. scan chain or built-in self-test [BIST]
  • G06F 30/343 - Logical level
  • G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer

60.

POWER AND TEMPERATURE DRIVEN BANDWIDTH THROTTLING USING DELAY INSERTION

      
Application Number 17855439
Status Pending
Filing Date 2022-06-30
First Publication Date 2024-01-04
Owner XILINX, INC. (USA)
Inventor
  • Turullols, Sebastian
  • Medeme, Naga Murali Narasimha Rao
  • Sharma, Ravinder
  • Pvss, Jayaram
  • Hemanth Kumar, Indlamuri
  • Manji, Kaustuvmani

Abstract

Methods and systems to manage an environmental condition, such as power consumption and/or temperature, of an integrated circuit (IC) device by controlling a bandwidth of a packet-based communication interface of the IC device (e.g., a PCIe interface). Bandwidth may be controlled by controlling delay between packets or controlling delay of a handshake signal. Delay may be increased when the environmental condition reaches a first threshold. Delay may be reduced when the environmental condition falls to a second threshold. Bandwidth may be regulated with proportional-integral control provided by a firmware controller and/or hardware. Bandwidth may be separately controlled for upstream and downstream paths based on bandwidth utilization of the respective paths. Bandwidth control may utilize codes stored in selectable registers. The IC device may include a field programmable gate array (FPGA) and may be configured as an accelerator card.

IPC Classes  ?

  • H04W 52/02 - Power saving arrangements
  • G06F 1/3212 - Monitoring battery levels, e.g. power saving mode being initiated when battery voltage goes below a certain level
  • G06F 1/3287 - Power saving characterised by the action undertaken by switching off individual functional units in the computer system

61.

Flow control between non-volatile memory storage and remote hosts over a fabric

      
Application Number 15092490
Grant Number 11861326
Status In Force
Filing Date 2016-04-06
First Publication Date 2024-01-02
Grant Date 2024-01-02
Owner XILINX, INC. (USA)
Inventor
  • Singh, Santosh
  • Sakalley, Deboleena M.
  • Subramanian, Ramesh R.
  • Kumbhare, Pankaj V.
  • Boddu, Ravi K.

Abstract

An example method of flow control between remote hosts and a target system over a front-end fabric, the target system including a nonvolatile memory (NVM) subsystem coupled to a back end fabric having a different transport than the front-end fabric is described. The method includes receiving commands from the remote hosts at a controller in the target system for the NVM subsystem. The method further includes storing the commands in a first-in-first-out (FIFO) shared among the remote hosts and implemented in memory of the target system. The method further includes updating virtual submission queues for the remote hosts based on the commands stored in the FIFO. The method further includes providing the commands to the NVM subsystem from the FIFO.

IPC Classes  ?

  • G06F 15/16 - Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
  • G06F 5/06 - Methods or arrangements for data conversion without changing the order or content of the data handled for changing the speed of data flow, i.e. speed regularising
  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 15/173 - Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star or snowflake

62.

CHIP PACKAGE WITH PASS THROUGH HEAT SPREADER

      
Application Number 17851937
Status Pending
Filing Date 2022-06-28
First Publication Date 2023-12-28
Owner XILINX, INC. (USA)
Inventor
  • Refai-Ahmed, Gamal
  • Ramalingam, Suresh

Abstract

Chip packages, electronic devices and method for making the same are described herein. The chip packages and electronic devices have a heat spreader disposed over a plurality of integrated circuit (IC) devices. The heat spreader has an opening through which a protrusion from an overlaying cover extends into contact with one or more of the IC devices to provide a direct heat transfer path to the cover. Another one or more other IC devices have a heat transfer path to the cover through the heat spreader. The separate heat transfer paths allow more effective thermal management of the IC devices of the chip package.

IPC Classes  ?

  • H01L 23/40 - Mountings or securing means for detachable cooling or heating arrangements
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 23/498 - Leads on insulating substrates
  • H01L 23/46 - Arrangements for cooling, heating, ventilating or temperature compensation involving the transfer of heat by flowing fluids

63.

CHIP PACKAGE INTEGRATION WITH HYBRID BONDING

      
Application Number 17841454
Status Pending
Filing Date 2022-06-15
First Publication Date 2023-12-21
Owner XILINX, INC. (USA)
Inventor
  • Singh, Inderjit
  • Chen, Shih-Yen
  • Chen, Yi-Ting

Abstract

A chip package and method for fabricating the same are provided that includes hybrid bonds between a substrate and integrated circuit devices. In one example, a chip package includes a plurality of integrated circuit (IC) devices mounted on a substrate. The substrate has a die side and a ball side. The die side of the substrate includes a plurality of exposed metal bond pads. Each IC device has a device body. Functional circuitry is formed in the device body, terminating at a plurality of exposed metal bond pads. The plurality of exposed metal bond pads are hybrid bonded to the plurality of exposed metal bond pads.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

64.

RANDOM READS USING MULTI-PORT MEMORY AND ON-CHIP MEMORY BLOCKS

      
Application Number 18230117
Status Pending
Filing Date 2023-08-03
First Publication Date 2023-12-21
Owner XILINX, INC. (USA)
Inventor
  • Jain, Abhishek Kumar
  • Fraisse, Henri
  • Gaitonde, Dinesh D.

Abstract

A method includes receiving a value and an identifier from a first memory and hashing the identifier to produce a memory block identifier. The method also includes routing, based on the memory block identifier, a read request to a memory block of a plurality of memory blocks and updating the value received from the first memory based on a property received from the memory block in response to the read request. The memory further includes storing the updated value in the first memory.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

65.

SWITCHED CAPACITOR CIRCUITRY FOR MITIGATING POLE-ZERO DOUBLET ERRORS IN AN ANALOG CIRCUIT

      
Application Number 17829297
Status Pending
Filing Date 2022-05-31
First Publication Date 2023-12-14
Owner XILINX, INC. (USA)
Inventor Francis, Roswald

Abstract

Examples describe a switched capacitor (SC) circuitry calibrated to mitigate the pole-zero (PZ) doublet errors that occur in an analog circuitry. Due to PZ-doublet errors, the slow settling time response of an input step function to an analog circuitry make it impractical to use in applications such as a digital oscilloscope. Mitigating the PZ-doublet errors in the frequency domain is not practical due to the problem of the generation of low frequency sinusoidal tones. The solution disclosed in the present invention is to apply a step function and examine the output's slow settling error waveform. A signal is input to an analog to digital converter, and the output of the converter is processed by a computation that produces calibration codes. Calibration codes are coupled to a SC circuitry to mitigate the PZ-doublet errors. The error waveform is then minimized within a specified accuracy.

IPC Classes  ?

66.

DATAFLOW-BASED COMPUTER PROGRAM VISUALIZATION AND REFACTORING

      
Application Number 17806225
Status Pending
Filing Date 2022-06-09
First Publication Date 2023-12-14
Owner Xilinx, Inc. (USA)
Inventor
  • De Jong, Maurits Maarten
  • Fitzpatrick, Liam
  • Gehre, Matthias
  • Odendahl, Maximilian
  • Pradelle, Benoit
  • Schuermans, Stefan
  • Murillo Gómez, Luis Gabriel

Abstract

A computer-based visualization and refactoring system is capable of analyzing a computer program to determine computation tasks of the computer program and channels linking the computation tasks. The system generates, in a memory of computer hardware, a dataflow graph having nodes representing the computation tasks and edges representing the channels. The edges connect the nodes. Source code representations of the computation tasks are determined. Execution metrics of the computer program are determined. The nodes of the dataflow graph are annotated with the source code representations and the nodes and/or the edges are annotated with the execution metrics. The dataflow graph is displayed on a display device as annotated.

IPC Classes  ?

  • G06F 8/41 - Compilation
  • G06F 16/901 - Indexing; Data structures therefor; Storage structures
  • G06F 11/34 - Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation
  • G06F 8/77 - Software metrics

67.

HARDWARE ACCELERATION OF MACHINE LEARNING DESIGNS

      
Application Number 17806906
Status Pending
Filing Date 2022-06-14
First Publication Date 2023-12-14
Owner Xilinx, Inc. (USA)
Inventor
  • Ghasemi, Ehsan
  • Patwari, Rajeev
  • Delaye, Elliott
  • Tuyls, Jorn
  • Wu, Ephrem C.
  • Teng, Xiao
  • Pandit, Sanket

Abstract

Hardware acceleration of machine learning (ML) designs includes translating an ML primitive into an intermediate representation. The intermediate representation is subdivided to specify a functional compute block. The functional compute block is sized according to a compute node primitive adapted for implementing the ML primitive on target hardware. An overlay is generated for the ML primitive, at least in part, by mapping the functional compute block to the compute node primitive. The overlay is synthesizable to implement the ML primitive on the target hardware. The overlay can be scheduled for operation within the target hardware as part of an ML design including the ML primitive.

IPC Classes  ?

68.

IMPACTLESS FIRMWARE UPDATE

      
Application Number 17839265
Status Pending
Filing Date 2022-06-13
First Publication Date 2023-12-14
Owner XILINX, INC. (USA)
Inventor
  • Ansari, Ahmad R.
  • Burton, Felix

Abstract

Techniques to update firmware without a system reset include preserving state information associated with one or more firmware services, suspending processing of firmware service requests, loading an updated firmware image, and resuming processing of firmware service requests based on the preserved state information and the updated firmware image. Unpreserved states of one or more other firmware services may be recreated upon resumption of processing of the firmware service requests.

IPC Classes  ?

  • G06F 8/656 - Updates while running
  • G06F 9/445 - Program loading or initiating
  • G06F 21/57 - Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities

69.

Early detection of sequential access violations for high level synthesis

      
Application Number 17819884
Grant Number 11836426
Status In Force
Filing Date 2022-08-15
First Publication Date 2023-12-05
Grant Date 2023-12-05
Owner Xilinx, Inc. (USA)
Inventor
  • Du, Fangqing
  • Isoard, Alexandre
  • Yu, Lin-Ya
  • Neema, Hem C.

Abstract

Detecting sequential access violations for high-level synthesis (HLS) includes performing a simulation, using computer hardware, of an application for HLS. During the simulation, accesses of the application to elements of an array of the application are detected. During the simulation, determinations of whether the accesses occur in a sequential order are made. An indication of whether the access occur in sequential order is generated.

IPC Classes  ?

  • G06F 30/327 - Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
  • G06F 15/80 - Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors

70.

SPLITTING VECTOR PROCESSING LOOPS WITH AN UNKNOWN TRIP COUNT

      
Application Number 17664858
Status Pending
Filing Date 2022-05-24
First Publication Date 2023-11-30
Owner Xilinx, Inc. (USA)
Inventor Agarwal, Ajit K.

Abstract

A computer-based technique for processing an application includes determining that a loop of the application includes a reference to a data item of a vector data type. A trip count of the loop is determined to have an unknown trip count. The loop is split into a first loop and a second loop based on a splitting factor. The second loop is unrolled.

IPC Classes  ?

71.

Streaming architecture for packet parsing

      
Application Number 16242860
Grant Number 11831743
Status In Force
Filing Date 2019-01-08
First Publication Date 2023-11-28
Grant Date 2023-11-28
Owner XILINX, INC. (USA)
Inventor
  • Herrera, Jaime
  • Brebner, Gordon J.
  • Mcbryan, Ian
  • Lyons, Rowan

Abstract

Apparatus and associated methods relate to packet header field extraction as defined by a high level language and implemented in a minimum number of hardware streaming parsing stages to speculatively extract header fields from among multiple possible header sequences. In an illustrative example, the number of stages may be determined from the longest possible header sequence in any received packet. For each possible header sequence, one or more headers may be assigned to each stage, for example, based on a parse graph. Each pipelined stage may resolve a correct header sequence, for example, by sequentially extracting length and transition information from an adjacent prior stage to determine offset of the next header. By speculatively extracting selected fields from every possible position in each pipeline stage, a correct value may be selected using sequential hardware streaming pipelines to substantially reduce parsing latency.

IPC Classes  ?

  • H04L 69/22 - Parsing or analysis of headers
  • H04L 69/324 - Intralayer communication protocols among peer entities or protocol data unit [PDU] definitions in the data link layer [OSI layer 2], e.g. HDLC

72.

CIRCUIT SIMULATION BASED ON AN RTL COMPONENT IN COMBINATION WITH BEHAVIORAL COMPONENTS

      
Application Number 17746512
Status Pending
Filing Date 2022-05-17
First Publication Date 2023-11-23
Owner Xilinx, Inc. (USA)
Inventor
  • Peddamgari, Nageshwar Reddy
  • Anand, Sourabh
  • Annam, Vasudha
  • Mulpuri, Chandra Sekhar

Abstract

Methods and systems for simulating RTL models in combination with behavioral models involve generating an overall simulation model from a circuit design by a simulation tool of an EDA system. The overall simulation model includes respective behavioral simulation models of components of the circuit design. A register transfer level (RTL) simulation model of a particular component of the components of the circuit design is generated by an extractor tool of the EDA system. The respective behavioral simulation model of the particular component in the overall simulation model is replaced with the RTL simulation model, and a simulation that executes the overall simulation model and the RTL simulation model in place of the behavioral simulation model of the particular component is performed.

IPC Classes  ?

  • G06F 30/3308 - Design verification, e.g. functional simulation or model checking using simulation

73.

SYSTEM-ON-CHIP HAVING MULTIPLE CIRCUITS AND MEMORY CONTROLLER IN SEPARATE AND INDEPENDENT POWER DOMAINS

      
Application Number 18226193
Status Pending
Filing Date 2023-07-25
First Publication Date 2023-11-23
Owner XILINX, INC. (USA)
Inventor
  • Arbel, Ygal
  • Swarbrick, Ian A.
  • Ahmad, Sagheer

Abstract

Examples of the present disclosure generally relate to integrated circuits, such as a system-on-chip (SoC), that include a memory subsystem. In some examples, an integrated circuit includes a first master circuit in a first power domain on a chip; a second master circuit in a second power domain on the chip; and a first memory controller in a third power domain on the chip. The first master circuit and the second master circuit each are configured to access memory via the first memory controller. The first power domain and the second power domain each are separate and independent from the third power domain.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers
  • G06F 13/40 - Bus structure
  • G06F 1/28 - Supervision thereof, e.g. detecting power-supply failure by out of limits supervision
  • G06F 1/3287 - Power saving characterised by the action undertaken by switching off individual functional units in the computer system

74.

LOCK-STEPPING ASYNCHONOUS LOGIC

      
Application Number 17746843
Status Pending
Filing Date 2022-05-17
First Publication Date 2023-11-23
Owner XILINX, INC. (USA)
Inventor
  • Tran, David
  • Ganesan, Aditi R.
  • Goyal, Anurag

Abstract

Methods and systems to detect a metastable condition and suppress/mask a signal during the metastable condition. The metastable condition may arise from asynchronous sampling. Techniques disclosed herein may be configured to enable asynchronous lock-stepping, where outputs of redundant circuit blocks of a first clock domain are received at input nodes of a second clock domain. In the second clock domain, logic states at the input nodes are compared to detect errors, and results of the comparison are masked during transitions at the input nodes. Masking may be constrained to situations where logic states at the input nodes differ.

IPC Classes  ?

  • G06F 11/16 - Error detection or correction of the data by redundancy in hardware
  • H03L 7/081 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop - Details of the phase-locked loop provided with an additional controlled phase shifter

75.

DATA PROCESSING ARRAY INTERFACE HAVING INTERFACE TILES WITH MULTIPLE DIRECT MEMORY ACCESS CIRCUITS

      
Application Number 17663824
Status Pending
Filing Date 2022-05-17
First Publication Date 2023-11-23
Owner Xilinx, Inc. (USA)
Inventor
  • Clarke, David Patrick
  • Mccolgan, Peter
  • Noguera Serra, Juan J.
  • Tuan, Tim
  • Mathur, Saurabh
  • Kasibhatla, Amarnath
  • Rodriguez, Javier Cabezas
  • Parola Duarte, Pedro Miguel
  • Dickman, Zachary Blaise

Abstract

An integrated circuit (IC) can include a data processing array including a plurality of compute tiles arranged in a grid. The IC can include an array interface coupled to the data processing array. The array interface includes a plurality of interface tiles. Each interface tile includes a plurality of direct memory access circuits. The IC can include a network-on-chip (NoC) coupled to the array interface. Each direct memory access circuit is communicatively linked to the NoC via an independent communication channel.

IPC Classes  ?

  • G06F 13/28 - Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access, cycle steal

76.

DATA PROCESSING ARRAY INTERFACE HAVING INTERFACE TILES WITH MULTIPLE DIRECT MEMORY ACCESS CIRCUITS

      
Application Number US2023064821
Publication Number 2023/225425
Status In Force
Filing Date 2023-03-22
Publication Date 2023-11-23
Owner XILINX, INC. (USA)
Inventor
  • Clarke, David, Patrick
  • Mccolgan, Peter
  • Noguera Serra, Juan, J.
  • Tuan, Tim
  • Mathur, Saurabh
  • Kasibhatla, Amarnath
  • Cabezas Rodriguez, Javier
  • Duarte, Pedro, Miguel Parola
  • Dickman, Zachary, Blaise

Abstract

An integrated circuit (IC) can include a data processing array including a plurality of compute tiles arranged in a grid. The IC can include an array interface coupled to the data processing array. The array interface includes a plurality of interface tiles. Each interface tile includes a plurality of direct memory access circuits. The IC can include a network-on-chip (NoC) coupled to the array interface. Each direct memory access circuit is communicatively linked to the NoC via an independent communication channel.

IPC Classes  ?

  • G06F 15/80 - Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors

77.

Lossless compression using subnormal floating point values

      
Application Number 17171608
Grant Number 11824564
Status In Force
Filing Date 2021-02-09
First Publication Date 2023-11-21
Grant Date 2023-11-21
Owner XILINX, INC. (USA)
Inventor
  • James-Roxby, Philip B.
  • Dellinger, Eric F.

Abstract

A disclosed compression method includes inputting a data set of floating point values from an input circuit to a compression circuit and detecting non-zero values and sequences of zero values in the data set. The compression circuit outputs, in response to detection of a non-zero value in the data set, the non-zero value to an output circuit. The compression circuit generates, in response to detection of a sequence of zero values in the data set, a subnormal floating point value having significand bits that indicate counted zero values in the sequence, and outputs the subnormal floating point value to the output circuit.

IPC Classes  ?

  • H03M 7/24 - Conversion to or from floating-point codes
  • G06F 7/483 - Computations with numbers represented by a non-linear combination of denominational numbers, e.g. rational numbers, logarithmic number system or floating-point numbers

78.

Identifying alignment markers using partial correlators

      
Application Number 16199744
Grant Number 11824761
Status In Force
Filing Date 2018-11-26
First Publication Date 2023-11-21
Grant Date 2023-11-21
Owner Xilinx, Inc. (USA)
Inventor Jones, Ben J.

Abstract

Methods and apparatus for detecting alignment markers in received data streams received via a plurality of data lanes are disclosed. Corresponding data streams may be received via respective data lanes in the plurality of data lanes, where each data stream includes alignment markers delineating data frames, and each alignment marker has a predefined bit pattern. For each respective data lane, a determination is made whether a specified portion of the received data stream has at least a threshold degree of similarity with a portion of the predefined bit pattern. In response to determining, for one of the plurality of data lanes, that the specified portion has at least the threshold degree of similarity, a frame boundary may be determined based on the specified portion, and a verification may be performed, that the specified portion of the received data stream corresponds to an alignment marker.

IPC Classes  ?

  • H04L 45/24 - Multipath
  • H04L 25/14 - Channel dividing arrangements
  • H04L 1/00 - Arrangements for detecting or preventing errors in the information received

79.

FRAMEWORK FOR SYSTEM SIMULATION USING MULTIPLE SIMULATORS

      
Application Number 17662818
Status Pending
Filing Date 2022-05-10
First Publication Date 2023-11-16
Owner Xilinx, Inc. (USA)
Inventor
  • Yang, Ji
  • Javaid, Haris
  • Mohan, Sundararajarao

Abstract

A simulation framework is capable modeling a hardware implementation of a reference software system using models specified in different computer-readable languages. The models correspond to different ones of a plurality of subsystems of the hardware implementation. Input data is provided to a first simulator configured to simulate a first model of a first subsystem of the modeled hardware implementation. The input data is captured from execution of the reference software system. The first simulator executing the first model generates a first data file specifying output of the first subsystem. The first data file specifies intermediate data of the modeled hardware implementation. The first data file is provided to a second simulator configured to simulate a second model of a second subsystem of the modeled hardware implementation. The second simulator executing the second model generates a second data file specifying output of the second subsystem.

IPC Classes  ?

  • G06F 30/20 - Design optimisation, verification or simulation
  • H04L 9/00 - Arrangements for secret or secure communications; Network security protocols

80.

Integrated circuit chip testing interface with reduced signal wires

      
Application Number 17742363
Grant Number 11860228
Status In Force
Filing Date 2022-05-11
First Publication Date 2023-11-16
Grant Date 2024-01-02
Owner XILINX, INC. (USA)
Inventor
  • Lin, Albert Shih-Huai
  • Patel, Niravkumar
  • Majumdar, Amitava
  • Sowards, Jane Wang

Abstract

An integrated circuit (IC) chip device includes testing interface circuity and testing circuitry to test the operation of the IC chips of the IC chip device. The IC chip device includes a first IC chip that comprises first testing circuitry. The first testing circuitry receives a mode select signal, a clock signal, and encoded signals, and comprises finite state machine (FSM) circuitry, decoder circuitry, and control circuitry. The FSM circuitry determines an instruction based on the mode select signal and the clock signal. The decoder circuitry decodes the encoded signals to generate a decoded signal. The control circuitry generates a control signal from the instruction and the decoded signal. The control signal indicates a test to be performed by the first testing circuitry.

IPC Classes  ?

81.

BLOCKCHAIN MACHINE BROADCAST PROTOCOL WITH LOSS RECOVERY

      
Application Number 17743421
Status Pending
Filing Date 2022-05-12
First Publication Date 2023-11-16
Owner XILINX, INC. (USA)
Inventor
  • Yang, Ji
  • Javaid, Haris
  • Mohan, Sundararajarao
  • Brebner, Gordon John

Abstract

The embodiments herein describe a communication protocol (which can be implemented in hardware or software) that provides efficient recover packet loss and can transit large messages in a complex network environment. In one embodiment, each data packet contains an encoded universal sequence which is unique across the sends, which enables cross-sender loss recovery. A receiver can include a transmission control module that controls the receiving buffer and maintains the buffer status and the sender's status. The transmission control module stores incoming packets to the correct position in the receiving buffer and generates acknowledgement notifications. The transmission control module also handles packet loss and out-of-order receiving of the packets containing the transactions.

IPC Classes  ?

  • H04L 67/1074 - Peer-to-peer [P2P] networks for supporting data block transmission mechanisms
  • G06F 16/23 - Updating

82.

NETWORK-ON-CHIP ARCHITECTURE FOR HANDLING DIFFERENT DATA SIZES

      
Application Number US2023016863
Publication Number 2023/219723
Status In Force
Filing Date 2023-03-30
Publication Date 2023-11-16
Owner XILINX, INC. (USA)
Inventor
  • Srinivasan, Krishnan
  • Ahmad, Sagheer
  • Arbel, Ygal
  • Gupta, Aman

Abstract

An integrated circuit (IC) includes a Network-on-Chip (NoC). The NoC includes a plurality of NoC master circuits, a plurality of NoC slave circuits, and a plurality of switches. The plurality of switches are interconnected and communicatively link the plurality of NoC master circuits with the plurality of NoC slave circuits. The plurality of switches are configured to receive data of different widths during operation and implement different operating modes for forwarding the data based on the different widths.

IPC Classes  ?

  • G06F 15/78 - Architectures of general purpose stored program computers comprising a single central processing unit

83.

NETWORK-ON-CHIP ARCHITECTURE FOR HANDLING DIFFERENT DATA SIZES

      
Application Number 17663376
Status Pending
Filing Date 2022-05-13
First Publication Date 2023-11-16
Owner Xilinx, Inc. (USA)
Inventor
  • Srinivasan, Krishnan
  • Ahmad, Sagheer
  • Arbel, Ygal
  • Gupta, Aman

Abstract

An integrated circuit (IC) includes a Network-on-Chip (NoC). The NoC includes a plurality of NoC master circuits, a plurality of NoC slave circuits, and a plurality of switches. The plurality of switches are interconnected and communicatively link the plurality of NoC master circuits with the plurality of NoC slave circuits. The plurality of switches are configured to receive data of different widths during operation and implement different operating modes for forwarding the data based on the different widths.

IPC Classes  ?

  • H04L 49/109 - Integrated on microchip, e.g. switch-on-chip

84.

DEADLOCK DETECTION AND PREVENTION FOR ROUTING PACKET-SWITCHED NETS IN ELECTRONIC SYSTEMS

      
Application Number 17662037
Status Pending
Filing Date 2022-05-04
First Publication Date 2023-11-09
Owner Xilinx, Inc. (USA)
Inventor
  • Venkatakrishnan, Sreesan
  • Deshmukh, Nitin
  • Sivaswamy, Satish B.

Abstract

Routing a circuit design includes generating a graph of the circuit design where each connected component is represented as a vertex, generating a routing solution for the circuit design by routing packet-switched nets so that the packet-switched nets of a same connected component do not overlap, and, for each routing resource that is shared by packet-switched nets of different connected components, indicating the shared routing resource on the graph by adding an edge. Cycle detection may be performed on the graph. For each cycle detected on the graph, the cycle may be broken by deleting the edge from the graph and ripping-up a portion of the routing solution corresponding to the deleted edge. The circuit design, or portion thereof, for which the routing solution was ripped up may be re-routed using an increased cost for a shared routing resource freed from the ripping-up.

IPC Classes  ?

  • G06F 30/394 - Routing
  • G06F 30/398 - Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

85.

SPATIAL DISTRIBUTION IN A 3D DATA PROCESSING UNIT

      
Application Number 18224859
Status Pending
Filing Date 2023-07-21
First Publication Date 2023-11-09
Owner XILINX, INC. (USA)
Inventor Dastidar, Jaideep

Abstract

The embodiments herein describe a 3D SmartNIC that spatially distributes compute, storage, or network functions in three dimensions using a plurality of layers. That is, unlike current SmartNIC that can perform acceleration functions in a 2D, a 3D Smart can distribute these functions across multiple stacked layers, where each layer can communicate directly or indirectly with the other layers.

IPC Classes  ?

86.

Wafer-scale large programmable device

      
Application Number 17209006
Grant Number 11803681
Status In Force
Filing Date 2021-03-22
First Publication Date 2023-10-31
Grant Date 2023-10-31
Owner XILINX, INC. (USA)
Inventor
  • Blair, Zachary
  • Kaviani, Alireza

Abstract

The embodiments herein rely on cross reticle wires (also referred to as cross die wires) to provide communication channels between programmable dies already formed on a wafer. Using cross reticle wires to facilitate x-die communication can be three to four orders of magnitude faster than using general purpose I/O. With a wafer containing cross reticle wires, various device geometries can be generated at dicing time by cutting across different reticle boundaries. This allows up to full wafer-size devices, or several smaller sub-wafer devices to be derived from one wafer. Although the programmable dies can contain defects, these defects can be identified and avoided when generating a bitstream for configuring programmable features in the programmable dies.

IPC Classes  ?

  • G06F 30/323 - Translation or migration, e.g. logic to logic, hardware description language [HDL] translation or netlist translation
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 27/02 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
  • G06F 30/3947 - Routing global

87.

HIGH-THROUGHPUT REGULAR EXPRESSION PROCESSING USING AN INTEGRATED CIRCUIT

      
Application Number 17660801
Status Pending
Filing Date 2022-04-26
First Publication Date 2023-10-26
Owner Xilinx, Inc. (USA)
Inventor
  • Kumawat, Sachin
  • Liddell, David K.
  • Wang, Jiayou

Abstract

A system includes a multi-port random-access memory (RAM) configured to store an instruction table. The instruction table specifies a regular expression for application to a data stream. The system includes a regular expression engine configured to process the data stream based on the instruction table. The regular expression engine includes a decoder circuit configured to determine validity of active states output from the RAM, a plurality of active states memories operating concurrently, wherein each active states memory is configured to initiate a read from a different port of the RAM using an address formed of an active state output from the active states memory and a portion of the data stream, and switching circuitry configured to route the active states to the plurality of active states memories according, at least in part, to a load balancing technique and validity of the active states.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

88.

High-throughput regular expression processing with capture using an integrated circuit

      
Application Number 17660808
Grant Number 11861171
Status In Force
Filing Date 2022-04-26
First Publication Date 2023-10-26
Grant Date 2024-01-02
Owner Xilinx, Inc. (USA)
Inventor
  • Kumawat, Sachin
  • Liddell, David K.
  • Schumacher, Paul R.

Abstract

A system includes a first multi-port RAM storing an instruction table. The instruction table specifies a regular expression for application to a data stream and a second multi-port RAM configured to store a capture table having capture entries decodable for tracking position information for a sequence of characters matching a capture sub-expression of the regular expression. The system includes a regular expression engine processing the data stream to determine match states by tracking active states for the regular expression and priorities for the active states by storing the active states of the regular expression in a plurality of priority FIFO memories in decreasing priority order. The system includes a capture engine operating in coordination with the regular expression engine to determine character(s) of the data stream that match the capture sub-expression based on the active state being tracked and decoding the capture entries of the capture table.

IPC Classes  ?

  • G06F 3/06 - Digital input from, or digital output to, record carriers

89.

BLOCKCHAIN MACHINE COMPUTE ACCELERATION ENGINE WITH OUT-OF-ORDER SUPPORT

      
Application Number 17729955
Status Pending
Filing Date 2022-04-26
First Publication Date 2023-10-26
Owner XILINX, INC. (USA)
Inventor
  • Javaid, Haris
  • Yang, Ji
  • Mohan, Sundararajarao

Abstract

Embodiments herein describe a hardware accelerator for a blockchain node. The hardware accelerator is used to perform a validation operation to validate one or more transactions before those transactions are committed to a ledger of a blockchain. The embodiments herein describe an out-of-order validation scheme where a collector is used to collect validated transactions out of order. Thus, if a validation pipeline has finished validating a later transaction before another validation pipeline has finished validating an earlier transaction, the pipeline can nonetheless send its results to the collector and retrieve another transaction from a scheduler. In this manner, the downtime for the validation pipelines is reduced or eliminated.

IPC Classes  ?

  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode
  • G06F 9/38 - Concurrent instruction execution, e.g. pipeline, look ahead
  • H04L 9/00 - Arrangements for secret or secure communications; Network security protocols
  • H04L 9/08 - Key distribution
  • G06F 15/78 - Architectures of general purpose stored program computers comprising a single central processing unit

90.

ADAPTIVE BLOCK PROCESSOR FOR BLOCKCHAIN MACHINE COMPUTE ACCELERATION ENGINE

      
Application Number 17729949
Status Pending
Filing Date 2022-04-26
First Publication Date 2023-10-26
Owner XILINX, INC. (USA)
Inventor
  • Javaid, Haris
  • Yang, Ji
  • Mohan, Sundararajarao

Abstract

Embodiments herein describe a hardware accelerator for a blockchain node. The hardware accelerator is used to perform a validation operation to validate one or more transactions before those transactions are committed to a ledger of a blockchain. The embodiments herein describe a scheduler for assigning validation engines to the transactions in response to the number of endorsements in the transactions.

IPC Classes  ?

  • G06Q 20/40 - Authorisation, e.g. identification of payer or payee, verification of customer or shop credentials; Review and approval of payers, e.g. check of credit lines or negative lists

91.

HIGH-THROUGHPUT REGULAR EXPRESSION PROCESSING WITH PATH PRIORITIES USING AN INTEGRATED CIRCUIT

      
Application Number 17660799
Status Pending
Filing Date 2022-04-26
First Publication Date 2023-10-26
Owner Xilinx, Inc. (USA)
Inventor
  • Liddell, David K.
  • Kumawat, Sachin

Abstract

A system includes a multi-port RAM configured to store an instruction table. The instruction table specifies a regular expression for application to a data stream. The system includes a regular expression engine (engine) that processes the data stream using the instruction table. The engine includes a decoder circuit that determines validity of active states output from the multi-port RAM and a plurality of priority FIFO memories (PFIFOs) operating concurrently. Each PFIFO can initiate a read from a different port of the multi-port RAM. Each PFIFO can track a plurality of active paths for the regular expression and a priority of each active path by, at least in part, storing entries corresponding to active states in each respective PFIFO in decreasing priority order. The engine includes switching circuitry that selectively routes the active states from the decoder circuit to the plurality of PFIFOs according to the priority order.

IPC Classes  ?

  • G06F 12/126 - Replacement control using replacement algorithms with special data handling, e.g. priority of data or instructions, handling errors or pinning
  • G06F 12/02 - Addressing or allocation; Relocation
  • G06F 12/0853 - Cache with multiport tag or data arrays

92.

Multiple partitions in a data processing array

      
Application Number 17659423
Grant Number 11848670
Status In Force
Filing Date 2022-04-15
First Publication Date 2023-10-19
Grant Date 2023-12-19
Owner Xilinx, Inc. (USA)
Inventor
  • Serra, Juan J. Noguera
  • Tuan, Tim
  • Rodriguez, Javier Cabezas
  • Clarke, David
  • Mccolgan, Peter
  • Dickman, Zachary Blaise
  • Mathur, Saurabh
  • Kasibhatla, Amarnath
  • Quesada, Francisco Barat

Abstract

An apparatus includes a data processing array having a plurality of array tiles. Each array tile can include a random-access memory (RAM) having a local memory interface accessible by circuitry within the array tile and an adjacent memory interface accessible by circuitry disposed within an adjacent array tile. Each adjacent memory interface of each array tile can include isolation logic that is programmable to allow the circuitry disposed within the adjacent array tile to access the RAM or prevent the circuitry disposed within the adjacent array tile from accessing the RAM. The data processing array can be subdivided into a plurality of partitions wherein the isolation logic of the adjacent memory interfaces is programmed to prevent array tiles from accessing RAMs across a boundary between the plurality of partitions.

IPC Classes  ?

  • H03K 19/1776 - Structural details of configuration resources for memories
  • G11C 5/02 - Disposition of storage elements, e.g. in the form of a matrix array
  • H03K 19/17764 - Structural details of configuration resources for reliability
  • H03K 19/17784 - Structural details for adapting physical parameters for supply voltage

93.

COUPLED LOOP AND VOID STRUCTURE INTEGRATED IN A REDISTRIBUTION LAYER OF A CHIP PACKAGE

      
Application Number 17724063
Status Pending
Filing Date 2022-04-19
First Publication Date 2023-10-19
Owner XILINX, INC. (USA)
Inventor
  • Chiu, Po-Wei
  • Chen, Tzu-No
  • Shi, Hong
  • Weng, Li-Sheng
  • Lee, Young Soo

Abstract

Disclosed herein is a chip package and method for fabricating the same are provided that includes a redistribution layer (RDL) with a plurality of loop and void structures. The chip package includes an integrated circuit (IC) die, and a package substrate. The RDL is disposed between the IC die and the package substrate. The RDL has RDL circuitry that connects the IC die to the package substrate. The RDL circuitry includes a first coil formed in a first metal layer and a second coil formed in a second metal layer. A first end of the second coil is coupled to a second end of the first coil by a first via. A second end of the second coil is the IC die.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 23/64 - Impedance arrangements
  • H01L 23/498 - Leads on insulating substrates

94.

Predicting a performance metric based on features of a circuit design and explaining marginal contributions of the features to the prediction

      
Application Number 17722651
Grant Number 11790139
Status In Force
Filing Date 2022-04-18
First Publication Date 2023-10-17
Grant Date 2023-10-17
Owner Xilinx, Inc. (USA)
Inventor
  • Sivaswamy, Satish
  • Mkrtchyan, Garik

Abstract

A design tool determines features of a circuit design and applies a first model to the features. The first model indicates a predicted value of a metric based on the plurality of features. The design tool applies an explanation model to the features, and the explanation model indicates levels of contributions by the features to the predicted value of the metric, respectively. The design tool selects a feature of the plurality of features based on the respective levels of contributions and looks up a recipe associated with the feature in a database having possible features associated with recipes. The design tool processes the circuit design according to the recipe into implementation data that is suitable for making an integrated circuit (IC).

IPC Classes  ?

  • G06F 30/31 - Design entry, e.g. editors specifically adapted for circuit design
  • G06F 30/343 - Logical level

95.

SECURE SHELL AND ROLE ISOLATION FOR MULTI-TENANT COMPUTE

      
Application Number 17716881
Status Pending
Filing Date 2022-04-08
First Publication Date 2023-10-12
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Moore, Jason
  • Martin, Brian S.

Abstract

Embodiments herein describe a SoC with one or more untrusted islands that can host one or more roles or tenants in a data center environment (e.g., a cloud computing environment). In one embodiment, a secure shell encapsulates the untrusted islands with a secure application programming interface (API) to access other hardware resources in the SoC. Hardware resources in the SoC (e.g., HardIP, SoftIP, or both), can either be secure/trusted, or rely on the secure shell to ensure confidentiality.

IPC Classes  ?

96.

ROUTING NETWORK USING GLOBAL ADDRESS MAP WITH ADAPTIVE MAIN MEMORY EXPANSION FOR A PLURALITY OF HOME AGENTS

      
Application Number 18206045
Status Pending
Filing Date 2023-06-05
First Publication Date 2023-10-12
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Mittal, Millind

Abstract

An integrated circuit (IC) for adaptive memory expansion scheme is proposed, which comprises: a home agent comprising a first memory expansion pool and a second memory expansion pool; a first port connecting the home agent to a first memory expansion device, where the first memory expansion device comprises a first memory pool; a second port connecting the home agent to a second memory expansion device, where the second memory expansion device comprises a second memory pool; a first address table mapping the first memory expansion pool to the first memory pool based on a size of the first memory expansion pool or a size of the first memory pool; and a second address table mapping the second memory expansion pool to the second memory pool based on a size of the second memory expansion pool or a size of the second memory pool.

IPC Classes  ?

  • G06F 13/40 - Bus structure
  • G06F 9/30 - Arrangements for executing machine instructions, e.g. instruction decode
  • G06F 13/16 - Handling requests for interconnection or transfer for access to memory bus

97.

SECURE SHELL AND ROLE ISOLATION FOR MULTI-TENANT COMPUTE

      
Application Number US2023015309
Publication Number 2023/196094
Status In Force
Filing Date 2023-03-15
Publication Date 2023-10-12
Owner XILINX, INC. (USA)
Inventor
  • Dastidar, Jaideep
  • Moore, Jason
  • Martin, Brian S.

Abstract

Embodiments herein describe a SoC with one or more untrusted islands that can host one or more roles or tenants in a data center environment (e.g., a cloud computing environment). In one embodiment, a secure shell encapsulates the untrusted islands with a secure application programming interface (API) to access other hardware resources in the SoC. Hardware resources in the SoC (e.g., HardIP, SoftIP, or both), can either be secure/trusted, or rely on the secure shell to ensure confidentiality.

IPC Classes  ?

  • G06F 21/57 - Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
  • G06F 21/76 - Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information in application-specific integrated circuits [ASIC] or field-programmable devices, e.g. field-programmable gate arrays [FPGA] or programmable logic devices [PLD]

98.

CHIP PACKAGE WITH INTEGRATED CURRENT CONTROL

      
Application Number 17718220
Status Pending
Filing Date 2022-04-11
First Publication Date 2023-10-12
Owner XILINX, INC. (USA)
Inventor
  • Weng, Li-Sheng
  • Cheng, Chun-Yuan
  • Lee, Chao-Chin

Abstract

A chip package and method for fabricating the same are provided that includes a power delivery network (PDN) with non-uniform electrical conductance. The electrical conductance through each current path of the PDN may be selected to balance the distribution of current flow across the current paths through the chip package, thus compensating for areas of high and low current draw found in conventional designs.

IPC Classes  ?

  • H01L 23/498 - Leads on insulating substrates
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

99.

Chip bump interface compatible with different orientations and types of devices

      
Application Number 17235843
Grant Number 11784149
Status In Force
Filing Date 2021-04-20
First Publication Date 2023-10-10
Grant Date 2023-10-10
Owner XILINX, INC. (USA)
Inventor
  • Arbel, Ygal
  • Ma, Kenneth
  • Jayadev, Balakrishna
  • Ahmad, Sagheer

Abstract

Embodiments herein describe a multiple die system that includes an interposer that connects a first die to a second die. Each die has a bump interface structure that is connected to the other structure using traces in the interposer. However, the bump interface structures may have different orientations relative to each other, or one of the interface structures defines fewer signals than the other. Directly connecting the corresponding signals defined by the structures to each other may be impossible to do in the interposer, or make the interposer too costly. Instead, the embodiments here simplify routing in the interposer by connecting the signals in the bump interface structures in a way that simplifies the routing but jumbles the signals. The jumbled signals can then be corrected using reordering circuitry in the dies (e.g., in the link layer and physical layer).

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 23/538 - Arrangements for conducting electric current within the device in operation from one component to another the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates

100.

Time-division multiplexing (TDM) in integrated circuits for routability and runtime enhancement

      
Application Number 17657977
Grant Number 11888693
Status In Force
Filing Date 2022-04-05
First Publication Date 2023-10-05
Grant Date 2024-01-30
Owner Xilinx, Inc. (USA)
Inventor
  • Ravishankar, Chirag
  • Gaitonde, Dinesh D.

Abstract

Implementing a circuit design using time-division multiplexing (TDM) can include determining a net signature for each of a plurality of nets of a circuit design. For each net, the net signature specifies location information for a driver and one or more loads of the net. The plurality of nets having a same net signature can be grouped according to distance between drivers of the respective nets. One or more subgroups can be generated based on a TDM ratio for each group. For one or more of the subgroups, a TDM transmitter circuit is connected to a TDM receiver circuit through a selected interconnect, the drivers of the nets of the subgroup are connected to the TDM transmitter circuit, and loads of the nets of the subgroup are connected to the TDM receiver circuit.

IPC Classes  ?

  • H04J 3/02 - Time-division multiplex systems - Details
  • H04L 41/08 - Configuration management of networks or network elements
  • H04L 49/10 - Packet switching elements characterised by the switching fabric construction
  • H04L 41/0893 - Assignment of logical groups to network elements
  • H04L 49/109 - Integrated on microchip, e.g. switch-on-chip
  1     2     3     ...     41        Next Page