Lam Research Corporation

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 2 740 pour Lam Research Corporation Trier par
Recheche Texte
Brevet
États-Unis - USPTO
Excluant les filiales
Affiner par Reset Report
Date
Nouveautés (dernières 4 semaines) 16
2024 avril (MACJ) 14
2024 mars 12
2024 février 16
2024 janvier 14
Voir plus
Classe IPC
H01J 37/32 - Tubes à décharge en atmosphère gazeuse 1 100
H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants 623
C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction 509
H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives 437
H01L 21/311 - Gravure des couches isolantes 280
Voir plus
Statut
En Instance 650
Enregistré / En vigueur 2 090
Résultats pour  brevets
  1     2     3     ...     28        Prochaine page

1.

DEPOSITING A CARBON HARDMASK BY HIGH POWER PULSED LOW FREQUENCY RF

      
Numéro d'application 18493614
Statut En instance
Date de dépôt 2023-10-23
Date de la première publication 2024-04-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Weimer, Matthew Scott
  • Subramonium, Pramod
  • Puthenkovilakam, Ragesh
  • Bai, Rujun
  • French, David

Abrégé

Methods and related apparatus for depositing an ashable hard mask (AHM) on a substrate include pulsing a low frequency radio frequency component at a high power. Pulsing low frequency power may be used to increase the selectivity or reduce the stress of an AHM. The AHM may then be used to etch features into underlying layers of the substrate.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/517 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant une combinaison de décharges couvertes par plusieurs des groupes
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable

2.

NON-METAL INCORPORATION IN MOLYBDENUM ON DIELECTRIC SURFACES

      
Numéro d'application 18547481
Statut En instance
Date de dépôt 2022-02-18
Date de la première publication 2024-04-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Schloss, Lawrence
  • Collins, Joshua
  • Kennedy, Griffin John
  • Bamnolker, Hanna
  • Lee, Sang-Hyeob
  • Van Cleemput, Patrick
  • Gopinath, Sanjay

Abrégé

Provided herein are low resistance metallization stack structures for 3D-NAND applications and related methods of fabrication. In some embodiments, thin metal oxynitride nucleation layers are deposited on dielectric material followed by deposition of a pure metal conductor using process conditions that increase non-molybdenum component element content at the oxynitride-dielectric interface. Certain embodiments of the methods described below convert less than all of the metal oxynitride nucleation layer to a pure metal layer, further lowering the resistivity.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/14 - Dépôt d'un seul autre élément métallique
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • C23C 16/52 - Commande ou régulation du processus de dépôt

3.

TRIPOLAR ELECTRODE ARRANGEMENT FOR ELECTROSTATIC CHUCKS

      
Numéro d'application 18278276
Statut En instance
Date de dépôt 2022-03-11
Date de la première publication 2024-04-25
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Leeser, Karl Frederick
  • Blank, Richard
  • Hiester, Jacob L.

Abrégé

A system comprises a pedestal and a controller. The pedestal is arranged below a showerhead in a processing chamber and includes at least three electrodes to clamp a substrate to the pedestal during processing. The controller is configured to measure a pedestal-to-showerhead gap and at least one of a magnitude and a direction of a relative tilt between the pedestal and the showerhead by sensing impedances between the at least three electrodes and the showerhead.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

4.

HALOGEN-AND ALIPHATIC-CONTAINING ORGANOTIN PHOTORESISTS AND METHODS THEREOF

      
Numéro d'application 18546879
Statut En instance
Date de dépôt 2022-01-28
Date de la première publication 2024-04-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Weidman, Timothy William
  • Hansen, Eric Calvin
  • Wu, Chenghao

Abrégé

The present disclosure relates to a composition formed with a precursor including a C1-4 haloaliphatic or C1-4 aliphatic group or vinyl group (—CH═CH2) and other unsaturated substituents, as well as methods for forming and employing such compositions. In particular embodiments, the haloaliphatic group is a C1-2 haloalkyl group, which in turn provides a resist film having enhanced radiation absorptivity and/or minimal film shrinkage (e.g., upon radiation exposure and/or post-exposure bake). In other embodiments, the aliphatic group is a C1-2 alkyl or vinyl group and other unsaturated substituents, which can be dry deposited. In non-limiting embodiments, the radiation can include extreme ultraviolet (EUV) or deep ultraviolet (DUV) radiation.

Classes IPC  ?

  • G03F 7/004 - Matériaux photosensibles
  • G03F 7/095 - Matériaux photosensibles - caractérisés par des détails de structure, p.ex. supports, couches auxiliaires ayant plus d'une couche photosensible
  • G03F 7/16 - Procédés de couchage; Appareillages à cet effet
  • G03F 7/20 - Exposition; Appareillages à cet effet
  • G03F 7/30 - Dépouillement selon l'image utilisant des moyens liquides
  • G03F 7/36 - Dépouillement selon l'image non couvert par les groupes , p.ex. utilisant un courant gazeux, un plasma

5.

LONG-LIFE EXTENDED TEMPERATURE RANGE EMBEDDED DIODE DESIGN FOR ELECTROSTATIC CHUCK WITH MULTIPLEXED HEATERS ARRAY

      
Numéro d'application 18405595
Statut En instance
Date de dépôt 2024-01-05
Date de la première publication 2024-04-25
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Tian, Siyuan

Abrégé

A substrate support for a plasma chamber includes a base plate arranged along a plane, a first layer of an electrically insulating material arranged on the base plate along the plane, a plurality of heating elements arranged in the first layer along the plane, and a plurality of diodes arranged in respective cavities in the first layer. The plurality of diodes are connected in series to the plurality of heating elements, respectively. Each of the plurality of diodes includes a die of a semiconductor material arranged in a respective one of the cavities. The semiconductor material has a first coefficient of thermal expansion. A first side of the die is arranged on the first layer along the plane. A first terminal of the die is connected to a first electrical contact on the first layer.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

6.

MONOLITHIC ANISOTROPIC SUBSTRATE SUPPORTS

      
Numéro d'application 17769430
Statut En instance
Date de dépôt 2020-10-20
Date de la première publication 2024-04-18
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Hollingsworth, Joel
  • Lingampalli, Ramkishan
  • Leeser, Karl
  • Topping, Stephen
  • Baker, Noah Elliot

Abrégé

A substrate support includes a monolithic anisotropic body, which includes first, second and intermediate layers. The first layer is formed of a first material and disposed therein are RF and clamping electrodes. The second layer is formed of the first material or a second material and disposed therein is a heating element. The intermediate layer is formed of a different material than the first and second layers, such that at least one of: a thermal energy conductivity of the intermediate layer is different than a thermal energy conductivity of at least one of the first or second materials; or an electrical energy conductivity of the intermediate layer is different than an electrical conductivity of at least one of the first or second materials. Either the intermediate layer is disposed between the first and second layers or the second layer is disposed between the first and intermediate layers.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

7.

POLYMERIC COATING FOR SEMICONDUCTOR PROCESSING CHAMBER COMPONENTS

      
Numéro d'application 18546174
Statut En instance
Date de dépôt 2022-02-25
Date de la première publication 2024-04-11
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Song, Yuanping
  • Pham, Johnny
  • Song, Yiwei
  • Xu, Lin
  • Kimball, Christopher

Abrégé

A component in a semiconductor processing chamber is provided. An electrically conductive semiconductor or metal body has a CTE of less than 10.0×10−6/K. An intermediate layer is disposed over at least one surface of the body, the intermediate layer comprising a fluoropolymer. A perfluoroalkoxy alkane (PFA) layer is disposed over the intermediate layer to form the component.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • B05D 1/02 - Procédés pour appliquer des liquides ou d'autres matériaux fluides aux surfaces réalisés par pulvérisation

8.

Multiple State Pulsing for High Aspect Ratio Etch

      
Numéro d'application 18011505
Statut En instance
Date de dépôt 2022-06-16
Date de la première publication 2024-04-11
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Joi, Aniruddha
  • Dole, Nikhil
  • Wong, Merrett
  • Hudson, Eric
  • Sheth, Jay

Abrégé

A method for performing an etch process on a substrate includes applying a bias signal and a source signal to an electrode of a plasma processing system. The bias signal and the source signal are pulsed RF signals that together define a repeated pulsed RF cycle, wherein each pulsed RF cycle sequentially includes a first state, a second state, a third state, and a fourth state. The power level of the bias signal in the first state is greater than in the third state, which is greater than in the second state, which is greater than in the fourth state. The power level of the source signal in the first state is greater than in the third state, which is greater than in the second state, which is greater than in the fourth state.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

9.

SYSTEMS AND METHODS FOR ETCHING A HIGH ASPECT RATIO STRUCTURE

      
Numéro d'application 18011837
Statut En instance
Date de dépôt 2021-12-22
Date de la première publication 2024-04-11
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Dole, Nikhil
  • Yanagawa, Takumi
  • Hudson, Eric A.
  • Wong, Merrett
  • Joi, Aniruddha

Abrégé

A method for etching a stack is described. The method includes etching a first nitrogen-containing layer of the stack by applying a non-metal gas and discontinuing the application of the non-metal gas upon determining that a first oxide layer is reached. The first oxide layer is under the first nitrogen-containing layer. The method further includes etching the first oxide layer by applying a metal-containing gas. The application of the metal-containing gas is discontinued upon determining that a second nitrogen-containing layer will be reached. The second nitrogen-containing layer is situated under the first oxide layer. The method includes etching the second nitrogen-containing layer by applying the non-metal gas.

Classes IPC  ?

  • H01L 21/311 - Gravure des couches isolantes
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

10.

MATCHING PRE-PROCESSING AND POST-PROCESSING SUBSTRATE SAMPLES

      
Numéro d'application 18262145
Statut En instance
Date de dépôt 2022-01-19
Date de la première publication 2024-04-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Lu, Yu
  • Jin, Yansha
  • Tan, Zhongkui
  • Tetiker, Mehmet Derya

Abrégé

Various embodiments herein relate to systems, methods, and media for matching pre-processing and post-processing substrate samples. In some embodiments, a computer program product for matching pre-processing and post-processing substrate samples is provided, the computer program product comprising a non-transitory computer-readable on which is provided computer-executable instructions for: receiving a plurality of samples associated with a first set of dimensions characterizing a pre-processed substrate and a plurality of samples associated with a second set of dimensions characterizing a post-processed substrate; receiving an identification of one of the pre-processed dimensions and one of the post-processed dimensions that are to be matched; generating a first probability distribution of samples for the identified pre-processed dimension and a second probability distribution of samples for the identified post-processed dimension; and matching samples of the identified pre-processed dimension to samples of the identified post-processed dimension based on the first probability distribution and the second probability distribution.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06T 7/33 - Détermination des paramètres de transformation pour l'alignement des images, c. à d. recalage des images utilisant des procédés basés sur les caractéristiques
  • G06T 7/35 - Détermination des paramètres de transformation pour l'alignement des images, c. à d. recalage des images utilisant des procédés statistiques
  • G06T 7/62 - Analyse des attributs géométriques de la superficie, du périmètre, du diamètre ou du volume

11.

Showerhead Faceplate Having Flow Apertures Configured for Hollow Cathode Discharge Suppression

      
Numéro d'application 18529576
Statut En instance
Date de dépôt 2023-12-05
Date de la première publication 2024-04-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Selep, Michael John
  • Breiling, Patrick G.
  • Leeser, Karl Frederick
  • Thomas, Timothy Scott
  • Kamp, David William
  • Donnelly, Sean M.

Abrégé

A faceplate of a showerhead has a bottom side that faces a plasma generation region and a top side that faces a plenum into which a process gas is supplied during operation of a substrate processing system. The faceplate includes apertures formed through the bottom side and openings formed through the top side. Each of the apertures is formed to extend through a portion of an overall thickness of the faceplate to intersect with at least one of the openings to form a corresponding flow path for process gas through the faceplate. Each of the apertures has a cross-section that has a hollow cathode discharge suppression dimension in at least one direction. Each of the openings has a cross-section that has a smallest cross-sectional dimension that is greater than the hollow cathode discharge suppression dimension.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

12.

REMOVING METAL CONTAMINATION FROM SURFACES OF A PROCESSING CHAMBER

      
Numéro d'application 18534027
Statut En instance
Date de dépôt 2023-12-08
Date de la première publication 2024-04-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Yu, Jengyi
  • Tan, Samantha Siamhwa
  • Heo, Seongjun
  • Yuan, Ge
  • Kanakasabapathy, Siva Krishnan

Abrégé

A method for cleaning surfaces of a substrate processing chamber includes a) supplying a first gas selected from a group consisting of silicon tetrachloride (SiCl4), carbon tetrachloride (CCl4), a hydrocarbon (CxHy where x and y are integers) and molecular chlorine (Cl2), boron trichloride (BCl3), and thionyl chloride (SOCl2); b) striking plasma in the substrate processing chamber to etch the surfaces of the substrate processing chamber; c) extinguishing the plasma and evacuating the substrate processing chamber; d) supplying a second gas including fluorine species; e) striking plasma in the substrate processing chamber to etch the surfaces of the substrate processing chamber; and f) extinguishing the plasma and evacuating the substrate processing chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

13.

MULTI-PLATE ELECTROSTATIC CHUCKS WITH CERAMIC BASEPLATES

      
Numéro d'application 18534182
Statut En instance
Date de dépôt 2023-12-08
Date de la première publication 2024-04-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Wang, Feng
  • Gaff, Keith
  • Kimball, Christopher

Abrégé

An electrostatic chuck for a substrate processing system is provided. The electrostatic chuck includes: a top plate configured to electrostatically clamp to a substrate and formed of ceramic; an intermediate layer disposed below the top plate; and a baseplate disposed below the intermediate layer and formed of ceramic. The intermediate layer bonds the top plate to the baseplate.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01J 37/244 - Détecteurs; Composants ou circuits associés
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

14.

ATOMIC LAYER DEPOSITION WITH MULTIPLE UNIFORMLY HEATED CHARGE VOLUMES

      
Numéro d'application 18265825
Statut En instance
Date de dépôt 2021-12-14
Date de la première publication 2024-04-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Kadam, Nitin
  • Miller, Aaron Blake
  • Patil, Naveen
  • Wongsenakhum, Panya
  • Butail, Gorun
  • Thombare, Shruti

Abrégé

Multiple charge volumes (CVs) are used to supply a reactant and an inert gas at each processing chamber to perform atomic layer deposition (ALD) on substrates. A series of pulses of the reactant can be supplied at a high flow rate from two CVs during a dose step, which extends dose time. The inert gas can be supplied at an equal starting pressure from first and second CVs at first and second purge steps. A heated pulse valve manifold (PVM) minimizes temperature variations of process gases supplied from the PVM to respective processing chamber during ALD. The PVM preheats the process gases before the process gases enter the respective CVs in the PVM. The PVM includes additional supplemental heaters above and below the CVs to maintain the temperature of the process gases within the CVs. The PVM can be rapidly cooled before performing maintenance, which reduces downtime.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat

15.

PROFILE TWISTING CONTROL IN DIELECTRIC ETCH

      
Numéro d'application 18013493
Statut En instance
Date de dépôt 2022-06-16
Date de la première publication 2024-03-28
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Mackie, Neil Macaraeg
  • Lai, Kevin
  • Li, Chen
  • Zhang, He

Abrégé

A substrate processing apparatus includes a vacuum chamber with upper and lower electrodes and a processing zone for processing a substrate using plasma. The upper electrode includes a surface that is substantially parallel to a surface of the substrate when the substrate is positioned in the chamber. The apparatus includes at least one magnetic field source configured to generate one or more active magnetic fields through the processing zone, and a controller coupled to the at least one magnetic field source and the upper electrode. The controller is configured to apply RF power between the upper and lower electrodes to generate the plasma using a process gas. The controller controls the current through the at least one magnetic field source during the processing of the substrate, where the current is based on a target value corresponding to at least one characteristic of the one or more active magnetic fields.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

16.

HIGH POWER CABLE FOR HEATED COMPONENTS IN RF ENVIRONMENT

      
Numéro d'application 18526215
Statut En instance
Date de dépôt 2023-12-01
Date de la première publication 2024-03-28
Propriétaire Lam Reseach Corporation (USA)
Inventeur(s)
  • Jafarian-Tehrani, Seyed Jafar
  • Finnegan, Kenneth Walter
  • O'Brien, Sean
  • Tong, Benson Q.

Abrégé

A substrate support includes an edge ring, a heater element arranged within the edge ring, a ceramic layer, at least one heating element arranged within the ceramic layer, and a cable configured to provide power from a power source to the heater element and the at least one heating element. The cable includes a first plurality of wires connected to the heater element, a second plurality of wires connected to the at least one heating element, a filter module, and an isolation device connected only to the first plurality of wires between the filter module and the heater element. The first and second pluralities of wires are twisted together within the filter module. The isolation device is configured to compensate for a resonance frequency generated during operation of the heater element and the at least one heating element.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01B 9/00 - Câbles de transport d'énergie
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H03H 7/01 - Réseaux à deux accès sélecteurs de fréquence

17.

CAPACITANCE MEASUREMENT WITHOUT DISCONNECTING FROM HIGH POWER CIRCUIT

      
Numéro d'application 18522090
Statut En instance
Date de dépôt 2023-11-28
Date de la première publication 2024-03-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Kapoor, Sunil
  • Frederick, Thomas

Abrégé

Methods and apparatus for measuring capacitance are disclosed.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01R 13/02 - Dispositions pour la présentation de variables électriques ou de formes d'ondes pour la présentation sous forme numérique des variables électriques mesurées
  • G01R 19/00 - Dispositions pour procéder aux mesures de courant ou de tension ou pour en indiquer l'existence ou le signe
  • G01R 27/26 - Mesure de l'inductance ou de la capacitance; Mesure du facteur de qualité, p.ex. en utilisant la méthode par résonance; Mesure de facteur de pertes; Mesure des constantes diélectriques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 23/64 - Dispositions relatives à l'impédance

18.

INTEGRATED WAFER BOW MEASUREMENTS

      
Numéro d'application 18525539
Statut En instance
Date de dépôt 2023-11-30
Date de la première publication 2024-03-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Arora, Rajan
  • Souza, Michael
  • Tang, Wayne
  • Kabouzi, Yassine
  • Feng, Ye

Abrégé

In some examples, a wafer bow measurement system comprises a measurement unit including: a wafer support assembly to impart rotational movement to a measured wafer supported in the measurement unit; an optical sensor; a calibration standard to calibrate the optical sensor; a linear stage actuator to impart linear direction of movement to the optical sensor; a wafer centering sensor to determine a centering of the measured wafer supported in the measurement unit; and a wafer alignment sensor to determine an alignment of the measured wafer supported in the measurement unit.

Classes IPC  ?

  • G01N 21/95 - Recherche de la présence de criques, de défauts ou de souillures caractérisée par le matériau ou la forme de l'objet à analyser
  • G01B 11/06 - Dispositions pour la mesure caractérisées par l'utilisation de techniques optiques pour mesurer la longueur, la largeur ou l'épaisseur pour mesurer l'épaisseur
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement

19.

SYSTEMS AND METHODS FOR PULSE WIDTH MODULATED DOSE CONTROL

      
Numéro d'application 18526411
Statut En instance
Date de dépôt 2023-12-01
Date de la première publication 2024-03-21
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Gregor, Mariusch

Abrégé

A substrate processing system for treating a substrate includes N manifolds, Y groups of injector assemblies, and a dose controller, where Y and N are integers greater than one. Each of the Y groups of injector assemblies includes N injector assemblies located in a processing chamber. Each of the N injector assemblies in each group of injector assemblies is in fluid communication with one of the N manifolds, respectively, and includes a valve including an inlet and an outlet. The dose controller is configured to control pulse widths output to the Y groups of injector assemblies to provide temporal dosing of the substrate

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • B05B 1/14 - Buses, têtes de pulvérisation ou autres dispositifs de sortie, avec ou sans dispositifs auxiliaires tels que valves, moyens de chauffage avec des filtres placés dans ou à l'extérieur de l'orifice de sortie
  • B05B 1/30 - Buses, têtes de pulvérisation ou autres dispositifs de sortie, avec ou sans dispositifs auxiliaires tels que valves, moyens de chauffage agencés pour commander un débit, p.ex. à l'aide de conduits de section réglable
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

20.

MACHINE-LEARNING IN MULTI-STEP SEMICONDUCTOR FABRICATION PROCESSES

      
Numéro d'application 18256665
Statut En instance
Date de dépôt 2021-12-14
Date de la première publication 2024-03-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Zhang, Yan
  • Feng, Ye
  • Talukder, Dipongkar
  • Bonde, Jeffrey D.
  • Woo, Weng Foong
  • Thimmavajjula, Karthik
  • Luque, Jorge

Abrégé

Methods and systems for using a time-series of spectra to identify endpoint of a multi-step semiconductor fabrication processes such as multi-step deposition and multi-step etch processes. One method includes accessing a virtual carpet (e.g., a machine learning model) that is formed from a time-series of spectra for the multi-step processes collected during a training operation. During production, in-situ time-series of spectra are compared to the virtual carpet as part of end pointing of multi-step fabrication processes.

Classes IPC  ?

  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G06N 20/00 - Apprentissage automatique
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

21.

DETERMINATION OF RECIPES FOR MANUFACTURING SEMICONDUCTOR DEVICES

      
Numéro d'application 18385823
Statut En instance
Date de dépôt 2023-10-31
Date de la première publication 2024-03-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sawlani, Kapil Umesh
  • Basu, Atashi
  • Fried, David Michael
  • Danek, Michal
  • Alden, Emily Ann

Abrégé

Methods, systems, and computer programs are presented for determining the recipe for manufacturing a semiconductor with the use of machine learning (ML) to accelerate the definition of recipes. One general aspect includes a method that includes an operation for performing experiments for processing a component, each experiment controlled by a recipe, from a set of recipes, that identifies parameters for manufacturing equipment. The method further includes an operation for performing virtual simulations for processing the component, each simulation controlled by one recipe from the set of recipes. An ML model is obtained by training an ML algorithm using experiment results and virtual results from the virtual simulations. The method further includes operations for receiving specifications for a desired processing of the component, and creating, by the ML model, a new recipe for processing the component based on the specifications.

Classes IPC  ?

  • G06F 30/3308 - Vérification de la conception, p.ex. simulation fonctionnelle ou vérification du modèle par simulation
  • G06F 30/27 - Optimisation, vérification ou simulation de l’objet conçu utilisant l’apprentissage automatique, p.ex. l’intelligence artificielle, les réseaux neuronaux, les machines à support de vecteur [MSV] ou l’apprentissage d’un modèle
  • G06F 30/337 - Optimisation de la conception

22.

ALTERNATING ETCH AND PASSIVATION PROCESS

      
Numéro d'application 18505043
Statut En instance
Date de dépôt 2023-11-08
Date de la première publication 2024-03-14
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Heo, Seongjun
  • Yu, Jengyi
  • Liang, Chen-Wei
  • Jensen, Alan J.
  • Tan, Samantha S.H.

Abrégé

Tin oxide films are used as spacers and hardmasks in semiconductor device manufacturing. In one method, tin oxide layer (e.g., spacer footing) needs to be selectively etched in a presence of an exposed silicon-containing layer, such as SiOC, SiON, SiONC, amorphous silicon, SiC, or SiN. In order to reduce damage to the silicon-containing layer the process involves passivating the silicon-containing layer towards a tin oxide etch chemistry, etching the tin oxide, and repeating passivation and etch in an alternating fashion. For example, passivation and etch can be each performed between 2-50 times. In one implementation, passivation is performed by treating the substrate with an oxygen-containing reactant, activated in a plasma, and the tin oxide etching is performed by a chlorine-based chemistry, such as using a mixture of Cl2 and BCl3.

Classes IPC  ?

  • H01L 21/3065 - Gravure par plasma; Gravure au moyen d'ions réactifs
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

23.

SYSTEMS AND METHODS FOR HOMOGENOUS INTERMIXING OF PRECURSORS IN ALLOY ATOMIC LAYER DEPOSITION

      
Numéro d'application 18519290
Statut En instance
Date de dépôt 2023-11-27
Date de la première publication 2024-03-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Fisher, Ilanit
  • Humayun, Raashina
  • Danek, Michal
  • Van Cleemput, Patrick
  • Thombare, Shruti

Abrégé

A showerhead includes a plurality of plenums and a plurality of through holes positioned in the plurality of plenums. The plenums are stacked in a sequential order in an axial direction perpendicular to a semiconductor substrate. The plenums extend radially fully across the semiconductor substrate. The plenums are disjoint from each other and are configured to respectively supply a first metal precursor, a second metal precursor, and a reactant via the respective plenums without intermixing the first metal precursor, the second metal precursor, and the reactant in the plenums. The through holes of the respective plenums are arranged in a radial direction, which is perpendicular to the axial direction, in the same sequential order as the sequential order of the plenums. The through holes of the plenums open along a flat surface at a bottom of the showerhead. The flat surface extends radially fully across the bottom of the showerhead.

Classes IPC  ?

  • C23C 16/06 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/3205 - Dépôt de couches non isolantes, p.ex. conductrices ou résistives, sur des couches isolantes; Post-traitement de ces couches

24.

ELECTROCHEMICAL ASSEMBLY FOR FORMING SEMICONDUCTOR FEATURES

      
Numéro d'application 18261485
Statut En instance
Date de dépôt 2022-01-28
Date de la première publication 2024-03-14
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Mayer, Steven T.
  • Thorkelsson, Kari

Abrégé

Methods, apparatuses, and systems for forming deposited features on workpieces are provided herein. Generally, the techniques herein employ a deposition head to define an electrical field that facilitates electrochemical deposition. Other systems and controllers can be employed, which can assist in aligning or positioning the deposition head in proximity to a workpiece and controlling the size and location of the deposited feature.

Classes IPC  ?

  • C25D 7/12 - Semi-conducteurs
  • C25D 5/02 - Dépôt sur des surfaces déterminées
  • C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
  • C25D 21/12 - Commande ou régulation

25.

SPATIALLY AND DIMENSIONALLY NON-UNIFORM CHANNELLED PLATE FOR TAILORED HYDRODYNAMICS DURING ELECTROPLATING

      
Numéro d'application 18261734
Statut En instance
Date de dépôt 2022-01-19
Date de la première publication 2024-03-07
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Banik, Ii, Stephen J.
  • Graham, Gabriel Hay
  • Buckalew, Bryan L.
  • Rash, Robert
  • Chua, Lee Peng
  • Wilmot, Frederick Dean
  • Lin, Chien-Chieh

Abrégé

An ionically resistive ionically permeable element for use in an electroplating apparatus includes ribs to tailor hydrodynamic environment proximate a substrate during electroplating. In one implementation, the ionically resistive ionically permeable element includes a channeled portion that is at least coextensive with a plating face of the substrate, and a plurality of ribs extending from the substrate-facing surface of the channeled portion towards the substrate. Ribs include a first plurality of ribs of full maximum height and a second plurality of ribs of smaller maximum height than the full maximum height. In one implementation the ribs of smaller maximum height are disposed such that the maximum height of the ribs gradually increases in a direction from one edge of the element to the center of the element.

Classes IPC  ?

  • C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique
  • C25D 3/38 - Dépôt électrochimique; Bains utilisés à partir de solutions de cuivre
  • C25D 3/60 - Dépôt électrochimique; Bains utilisés à partir de solutions d'alliages contenant plus de 50% en poids d'étain
  • C25D 17/06 - Dispositifs pour suspendre ou porter les objets à revêtir
  • C25D 21/10 - Agitation des électrolytes; Déplacement des claies
  • C25D 21/12 - Commande ou régulation

26.

EDGE SEAL FOR LOWER ELECTRODE ASSEMBLY

      
Numéro d'application 18377371
Statut En instance
Date de dépôt 2023-10-06
Date de la première publication 2024-03-07
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Schaefer, David
  • Chhatre, Ambarish
  • Gaff, Keith William
  • Kim, Sung Je
  • Lai, Brooke Mesler

Abrégé

An edge seal for sealing an outer surface of a lower electrode assembly configured to support a semiconductor substrate in a plasma processing chamber, the lower electrode assembly including an annular groove defined between a lower member and an upper member of the lower electrode assembly. The edge seal includes an elastomeric band configured to be arranged within the groove, the elastomeric band having an annular upper surface, an annular lower surface, an inner surface, and an outer surface. When the elastomeric band is in an uncompressed state, the outer surface of the elastomeric band is concave. When the upper and lower surfaces are axially compressed at least 1% such that the elastomeric band is in a compressed state, an outward bulging of the outer surface is not greater than a predetermined distance. The predetermined distance corresponds to a maximum outer diameter of the elastomeric band in the uncompressed state.

Classes IPC  ?

  • F16J 15/02 - Joints d'étanchéité entre surfaces immobiles entre elles
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H02N 13/00 - Embrayages ou dispositifs de maintien utilisant l'attraction électrostatique, p.ex. utilisant l'effet Johnson-Rahbek

27.

PROCESSING PARTS USING SOLID-STATE ADDITIVE MANUFACTURING

      
Numéro d'application 18270481
Statut En instance
Date de dépôt 2022-01-06
Date de la première publication 2024-02-22
Propriétaire Lam Research Corporaton (USA)
Inventeur(s)
  • Hazarika, Pankaj Jyoti
  • Sarobol, Pylin
  • Schick, Matthew Brian
  • Torbatisarraf, Seyedalireza

Abrégé

Semiconductor-processing chamber components and methods for making the components are presented. One component includes a base including a metallic material, a metal matrix composite (MMC) layer, and a dielectric layer. The MMC layer at least partially covers the base, and the MMC layer comprises a metallic material as a continuous phase and a non-metallic material as a disperse phase. Further, the MMC layer is formed on the base using solid-state additive manufacturing (SSAM). The dielectric layer is made of a non-metallic material and is directly on the MMC layer.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • B33Y 80/00 - Produits obtenus par fabrication additive
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

28.

DOPED OR UNDOPED SILICON CARBIDE DEPOSITION AND REMOTE HYDROGEN PLASMA EXPOSURE FOR GAPFILL

      
Numéro d'application 18501395
Statut En instance
Date de dépôt 2023-11-03
Date de la première publication 2024-02-22
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Yuan, Guangbi
  • Narkeviciute, Ieva
  • Gong, Bo
  • Varadarajan, Bhadri N.

Abrégé

A doped or undoped silicon carbide (SiCxOyNz) film can be deposited in one or more features of a substrate for gapfill. After a first thickness of the doped or undoped silicon carbide film is deposited in the one or more features, the doped or undoped silicon carbide film is exposed to a remote hydrogen plasma under conditions that cause a size of an opening near a top surface of each of the one or more features to increase, where the conditions can be controlled by controlling treatment time, treatment frequency, treatment power, and/or remote plasma gas composition. Operations of depositing additional thicknesses of silicon carbide film and performing a remote hydrogen plasma treatment are repeated to at least substantially fill the one or more features. Various time intervals between deposition and plasma treatment may be added to modulate gapfill performance.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/30 - Dépôt de composés, de mélanges ou de solutions solides, p.ex. borures, carbures, nitrures
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/56 - Post-traitement
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

29.

CONTROL OF WAFER BOW IN MULTIPLE STATIONS

      
Numéro d'application 18494710
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2024-02-15
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Augustyniak, Edward
  • French, David
  • Kapoor, Sunil
  • Sakiyama, Yukinori
  • Thomas, George

Abrégé

A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

30.

CARRIER RING DESIGNS FOR CONTROLLING DEPOSITION ON WAFER BEVEL/EDGE

      
Numéro d'application 18494756
Statut En instance
Date de dépôt 2023-10-25
Date de la première publication 2024-02-15
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Janicki, Michael John
  • Williams, Brian Joseph

Abrégé

Various carrier ring designs and configurations to control an amount of deposition at a wafer's front side and bevel edge are provided. The carrier ring designs can control the amount of deposition at various locations of the wafer while deposition is performed on the wafer's back side, with no deposition desired on the front side of the wafer. These locations include front side, edge, and back side of bevel; and front and back side of the wafer. Edge profiles of the carrier rings are designed to control flow of process gases, flow of front side purge gas, and plasma effects. In some designs, through holes are added to the carrier rings to control gas flows. The edge profiles and added features can reduce or eliminate deposition at the wafer's front side and bevel edge.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

31.

SYSTEMS AND TECHNIQUES FOR OPTICAL MEASUREMENT OF THIN FILMS

      
Numéro d'application 18260713
Statut En instance
Date de dépôt 2022-01-04
Date de la première publication 2024-02-15
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Yang, Liu
  • Li, Mengping
  • Ghongadi, Shantinath
  • Pfau, Andrew James

Abrégé

Methods provided herein may include illuminating a region on a wafer within a semiconductor processing tool, the wafer having a layer of a material that is at least semi-transparent to light and has a measurable extinction coefficient, and the region being a first fraction of the wafer's surface, detecting light reflected off the material and off a surface underneath the material using one or more detectors and generating optical data corresponding to the detected light, generating a metric associated with a property of the material on the wafer by applying the optical data to a transfer function that relates the optical data to the metric associated with the property of the material on the wafer, determining an adjustment to one or more processing parameters for a processing module, and performing or modifying a processing operation in the processing module according to the adjusted one or more processing parameters.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • G01N 21/84 - Systèmes spécialement adaptés à des applications particulières

32.

PRECURSORS FOR DEPOSITION OF MOLYBDENUM-CONTAINING FILMS

      
Numéro d'application 18379397
Statut En instance
Date de dépôt 2023-10-12
Date de la première publication 2024-02-15
Propriétaire Lam Research Corporation (USA)
Inventeur(s) Blakeney, Kyle Jordan

Abrégé

Molybdenum-containing films are deposited on semiconductor substrates using reactions of molybdenum-containing precursors in ALD and CVD processes. In some embodiments, the precursors can be used for deposition of molybdenum metal films with low levels of incorporation of carbon and nitrogen. In some embodiments, the films are deposited using fluorine-free precursors in a presence of exposed silicon-containing layers without using etch stop layers. The precursor, in some embodiments, is a compound that includes molybdenum, at least one halogen that forms a bond with molybdenum, and at least one organic ligand that includes an element selected from the group consisting of N, O, and S, that forms a bond with molybdenum. In another aspect, the precursor is a molybdenum compound with at least one sulfur-containing ligand, and preferably no molybdenum-carbon bonds.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/18 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique à partir de composés organométalliques

33.

ADAPTIVE MODEL TRAINING FOR PROCESS CONTROL OF SEMICONDUCTOR MANUFACTURING EQUIPMENT

      
Numéro d'application 18258497
Statut En instance
Date de dépôt 2021-12-13
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Talukder, Dipongkar
  • Zhang, Yan
  • Feng, Ye
  • Bonde, Jeffrey D.

Abrégé

Various embodiments herein relate to systems and methods for adaptive model training. In some embodiments, a computer program product for adaptive model training is provided, the computer program product comprising a non-transitory computer readable medium on which is provided computer-executable instructions for: receiving, from a plurality of process chambers, ex situ data associated with wafers fabricated using the process chambers and in situ measurements, wherein a first machine learning model is used to predict the ex situ data using the in situ measurements; calculating a metric indicating an error associated with the first machine learning model; determining whether to update the first machine learning model; and generating a second machine learning model using the ex situ data and the in situ measurements.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

34.

MOLYBDENUM DEPOSITION IN FEATURES

      
Numéro d'application 18258973
Statut En instance
Date de dépôt 2022-01-03
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Na, Jeong-Seok
  • Thombare, Shruti Vivek
  • Hsieh, Yao-Tsung
  • Mandia, David Joseph
  • Lai, Chiukin Steven

Abrégé

Provided are deposition processes including deposition of a thin, protective Mo layer using a molybdenum chloride (MoClx) precursor. This may be followed by Mo deposition to fill the feature using a molybdenum oxyhalide (MoOyXz) precursor. The protective Mo layer enables Mo fill using an MoOyXz precursor without oxidation of the underlying surfaces. Also provided are in-situ clean processes in which a MoClx precursor is used to remove oxidation from underlying surfaces prior to deposition. Subsequent deposition using the MoClx precursor may deposit an initial layer and/or fill a feature.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation

35.

REAL-TIME CONTROL OF TEMPERATURE IN A PLASMA CHAMBER

      
Numéro d'application 18488950
Statut En instance
Date de dépôt 2023-10-17
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s) Jing, Changyou

Abrégé

Systems and methods for real-time control of temperature within a plasma chamber are described. One of the methods includes sensing a voltage in real time of a rail that is coupled to a voltage source. The voltage source supplies a voltage to multiple heater elements of the plasma chamber. The voltage that is sensed is used to adjust one or more duty cycles of corresponding one or more of the heater elements. The adjusted one or more duty cycles facilitate achieving and maintaining a temperature value within the plasma chamber over time.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)

36.

NON-ELASTOMERIC, NON-POLYMERIC, NON-METALLIC MEMBRANE VALVES FOR SEMICONDUCTOR PROCESSING EQUIPMENT

      
Numéro d'application 18489829
Statut En instance
Date de dépôt 2023-10-18
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Gregor, Mariusch
  • Panagopoulos, Theodoros
  • Lill, Thorsten Bernd

Abrégé

Non-elastomeric, non-polymeric, non-metallic membrane valves for use in high-vacuum applications are disclosed. Such valves are functional even when the fluid-control side of the valve is exposed to a sub-atmospheric pressure field which may generally act to collapse/seal traditional elastomeric membrane valves.

Classes IPC  ?

  • F16K 99/00 - Matière non prévue dans les autres groupes de la présente sous-classe

37.

PROTECTIVE COATING FOR ELECTROSTATIC CHUCKS

      
Numéro d'application 18490265
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2024-02-08
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Topping, Stephen
  • Burkhart, Vincent E.

Abrégé

An ElectroStatic Chuck (ESC) including a chucking surface having at least a portion covered with a coating of silicon oxide (SiO2), silicon nitride (Si3N4) or a combination of both. The coating can be applied in situ a processing chamber of a substrate processing tool and periodically removed and re-applied in situ to create fresh coating.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension

38.

REPLACEABLE AND/OR COLLAPSIBLE EDGE RING ASSEMBLIES FOR PLASMA SHEATH TUNING INCORPORATING EDGE RING POSITIONING AND CENTERING FEATURES

      
Numéro d'application 18377141
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-02-01
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sanchez, Alejandro
  • Ford, Grayson
  • Ehrlich, Darrell
  • Alwan, Aravind
  • Leung, Kevin
  • Contreras, Anthony
  • Han, Zhumin
  • Casaes, Raphael
  • Wu, Joanna

Abrégé

A first edge ring for a substrate support is provided. The first edge ring includes an annular-shaped body and one or more lift pin receiving elements. The annular-shaped body is sized and shaped to surround an upper portion of the substrate support. The annular-shaped body defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface. The one or more lift pin receiving elements are disposed along the lower surface of the annular-shaped body and sized and shaped to receive and provide kinematic coupling with top ends respectively of three or more lift pins.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

39.

SELECTIVE PROCESSING WITH ETCH RESIDUE-BASED INHIBITORS

      
Numéro d'application 18485749
Statut En instance
Date de dépôt 2023-10-12
Date de la première publication 2024-02-01
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sharma, Kashish
  • Kim, Taeseung
  • Tan, Samantha S.H.
  • Hausmann, Dennis M.

Abrégé

Selective deposition of a sacrificial material on a semiconductor substrate, the substrate having a surface with a plurality of regions of substrate materials having different selectivities for the sacrificial material, may be conducted such that substantial deposition of the sacrificial material occurs on a first region of the substrate surface, and no substantial deposition occurs on a second region of the substrate surface. Deposition of a non-sacrificial material may then be conducted on the substrate, such that substantial deposition of the non-sacrificial material occurs on the second region and no substantial deposition of the non-sacrificial material occurs on the first region. The sacrificial material may then be removed such that net deposition of the non-sacrificial material occurs substantially only on the second region.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/06 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le dépôt d'un matériau métallique
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

40.

CONTROL OF METALLIC CONTAMINATION FROM METAL-CONTAINING PHOTORESIST

      
Numéro d'application 18550733
Statut En instance
Date de dépôt 2022-03-31
Date de la première publication 2024-02-01
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Peter, Daniel
  • Tan, Samantha Siamhwa
  • Yu, Jengyi
  • Li, Da
  • Xue, Meng
  • Choi, Wook
  • Kim, Ji Yeon
  • Jensen, Alan J.
  • Labib, Shahd Hassan
  • Lee, Younghee
  • Zhao, Hongxiang

Abrégé

Various techniques for controlling metal-containing contamination on a semiconductor substrate are provided herein. Such techniques may involve one or more of a post-development bake treatment, a chemical treatment, a plasma treatment, a light treatment, and a backside and bevel edge clean. The techniques may be combined as desired for a particular application. In many cases, the techniques are used to address metal-containing contamination that is generated during a photoresist development operation.

Classes IPC  ?

  • G03F 7/40 - Traitement après le dépouillement selon l'image, p.ex. émaillage
  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet
  • G03F 7/36 - Dépouillement selon l'image non couvert par les groupes , p.ex. utilisant un courant gazeux, un plasma

41.

PROCESS TOOL FOR DRY REMOVAL OF PHOTORESIST

      
Numéro d'application 18377245
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-02-01
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Dictus, Dries
  • Weidman, Timothy William

Abrégé

Dry development or dry removal of metal-containing extreme ultraviolet radiation (EUV) photoresist is performed in atmospheric conditions or performed in process tools without vacuum equipment. Dry removal of the metal-containing EUV photoresist may be performed under atmospheric pressure or over-atmospheric pressure. Dry removal of the metal-containing EUV photoresist may be performed with exposure to an air environment or with non-oxidizing gases. A process chamber or module may be modified or integrated to perform dry removal of the metal-containing EUV photoresist with baking, wafer cleaning, wafer treatment, or other photoresist processing function. In some embodiments, the process chamber for dry removal of the metal-containing EUV photoresist includes a heating assembly for localized heating of a semiconductor substrate and a movable discharge nozzle for localized gas delivery above the semiconductor substrate.

Classes IPC  ?

  • G03F 7/00 - Production par voie photomécanique, p.ex. photolithographique, de surfaces texturées, p.ex. surfaces imprimées; Matériaux à cet effet, p.ex. comportant des photoréserves; Appareillages spécialement adaptés à cet effet

42.

ELECTROSTATIC CHUCK FOR USE IN SEMICONDUCTOR PROCESSING

      
Numéro d'application 18481886
Statut En instance
Date de dépôt 2023-10-05
Date de la première publication 2024-02-01
Propriétaire Lam Research Corporation (USA)
Inventeur(s) Gomm, Troy Alan

Abrégé

A semiconductor substrate processing apparatus includes a vacuum chamber having a processing zone in which a semiconductor substrate may be processed, a process gas source in fluid communication with the vacuum chamber for supplying a process gas into the vacuum chamber, a showerhead module through which process gas from the process gas source is supplied to the processing zone of the vacuum chamber, and a substrate pedestal module. The substrate pedestal module includes a pedestal made of ceramic material having an upper surface configured to support a semiconductor substrate thereon during processing, a stem made of ceramic material, and coplanar electrodes embedded in the platen, the electrodes including an outer RF electrode and inner electrostatic clamping electrodes, the outer RF electrode including a ring-shaped electrode and a radially extending lead extending from the ring-shaped electrode to a central portion of the platen, wherein the ceramic material of the platen and the electrodes comprise a unitary body made in a single sintering step.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • C23C 16/46 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour le chauffage du substrat
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/509 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes internes
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

43.

SYSTEMS AND METHODS FOR REVERSE PULSING

      
Numéro d'application 18480495
Statut En instance
Date de dépôt 2023-10-03
Date de la première publication 2024-01-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Long, Maolin
  • Tan, Zhongkui
  • Wu, Ying
  • Fu, Qian
  • Paterson, Alex
  • Drewery, John

Abrégé

Systems and methods for reverse pulsing are described. One of the methods includes receiving a digital signal having a first state and a second state. The method further includes generating a transformer coupled plasma (TCP) radio frequency (RF) pulsed signal having a high state when the digital signal is in the first state and having a low state when the digital signal is in the second state. The method includes providing the TCP RF pulsed signal to one or more coils of a plasma chamber, generating a bias RF pulsed signal having a low state when the digital signal is in the first state and having a high state when the digital signal is in the second state, and providing the bias RF pulsed signal to a chuck of the plasma chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

44.

TIN OXIDE THIN FILM SPACERS IN SEMICONDUCTOR DEVICE MANUFACTURING

      
Numéro d'application 18482197
Statut En instance
Date de dépôt 2023-10-06
Date de la première publication 2024-01-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Smith, David Charles
  • Wise, Richard
  • Mahorowala, Arpan
  • Van Cleemput, Patrick A.
  • Van Schravendijk, Bart J.

Abrégé

Thin tin oxide films can be used in semiconductor device manufacturing. In one implementation, a method of processing a semiconductor substrate includes: providing a semiconductor substrate having a plurality of protruding features residing on an etch stop layer material, and an exposed tin oxide layer in contact with both the protruding features and the etch stop layer material, where the tin oxide layer covers both sidewalls and horizontal surfaces of the protruding features; and then completely removing the tin oxide layer from horizontal surfaces of the semiconductor substrate without completely removing the tin oxide layer residing at the sidewalls of the protruding features. Next, the protruding features can be removed without completely removing the tin oxide layer that resided at the sidewalls of the protruding features, thereby forming tin oxide spacers.

Classes IPC  ?

  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques
  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/311 - Gravure des couches isolantes
  • C23C 16/40 - Oxydes
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/56 - Post-traitement
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

45.

SACRIFICIAL PROTECTION LAYER FOR ENVIRONMENTALLY SENSITIVE SURFACES OF SUBSTRATES

      
Numéro d'application 17310303
Statut En instance
Date de dépôt 2020-01-28
Date de la première publication 2024-01-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sirard, Stephen M.
  • Limary, Ratchana
  • Pan, Yang
  • Hymes, Diane

Abrégé

A method for protecting a surface of a substrate during processing includes a) providing a solution forming a co-polymer having a ceiling temperature; b) dispensing the solution onto a surface of the substrate to form a sacrificial protective layer, wherein the co-polymer is kinetically trapped to allow storage at a temperature above the ceiling temperature; c) exposing the substrate to ambient conditions for a predetermined period; and d) de-polymerizing the sacrificial protective layer by using stimuli selected from a group consisting of ultraviolet (UV) light and heat.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/306 - Traitement chimique ou électrique, p.ex. gravure électrolytique

46.

INTEGRATION OF FULLY ALIGNED VIA THROUGH SELECTIVE DEPOSITION AND RESISTIVITY REDUCTION

      
Numéro d'application 18555507
Statut En instance
Date de dépôt 2022-04-15
Date de la première publication 2024-01-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Hausmann, Dennis M.
  • Ramnani, Pankaj Ghanshyam
  • Sharma, Kashish
  • Lemaire, Paul C.
  • Mahorowala, Arpan Pravin

Abrégé

Methods and apparatuses for an integration scheme for forming a fully aligned via using selective deposition of graphene on metal surfaces and selective deposition of an inhibitor layer on exposed barrier surfaces prior to depositing dielectric material are provided.

Classes IPC  ?

  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • C23C 16/26 - Dépôt uniquement de carbone
  • B05D 1/00 - Procédés pour appliquer des liquides ou d'autres matériaux fluides aux surfaces
  • C23C 16/34 - Nitrures
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux
  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

47.

HIGH SELECTIVITY, LOW STRESS, AND LOW HYDROGEN CARBON HARDMASKS IN LOW-PRESSURE CONDITIONS WITH WIDE GAP ELECTRODE SPACING

      
Numéro d'application 18256893
Statut En instance
Date de dépôt 2021-12-13
Date de la première publication 2024-01-25
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Antony, Abbin
  • Meng, Xin
  • Chen, Xinyi
  • Sonti, Sreeram
  • Reddy, Kapu Sirish

Abrégé

Provided herein are methods and related apparatus for depositing an ashable hard mask (AHM) on a substrate by providing a wide gap electrode spacing in low-pressure conditions. A wide gap electrode may facilitate control of parasitic plasmas in low-pressure conditions, thereby enabling formation of high selectivity, low stress, and low-hydrogen AHMs. The AHM may then be used to etch features into underlying layers of the substrate.

Classes IPC  ?

  • H01L 21/027 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • C23C 16/50 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/56 - Post-traitement
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/26 - Dépôt uniquement de carbone

48.

Clamshell cable guide

      
Numéro d'application 29801355
Numéro de brevet D1012041
Statut Délivré - en vigueur
Date de dépôt 2021-07-28
Date de la première publication 2024-01-23
Date d'octroi 2024-01-23
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Borth, Andrew

49.

METAL ETCH

      
Numéro d'application 18257085
Statut En instance
Date de dépôt 2021-12-06
Date de la première publication 2024-01-18
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Fan, Yiwen
  • Yang, Wenbing
  • Lin, Ran
  • Tan, Samantha Siamhwa
  • Weidman, Timothy William
  • Mukherjee, Tamal

Abrégé

A method for etching a metal containing material is provided. The metal containing material is exposed to a halogen containing fluid or plasma to convert at least some of the metal containing material into a metal halide material. The metal halide material is exposed to a ligand containing fluid or plasma, wherein at least some of the metal halide material is formed into a metal halide ligand complex. At least some of the metal halide ligand complex is vaporized.

Classes IPC  ?

  • H01L 21/3213 - Gravure physique ou chimique des couches, p.ex. pour produire une couche avec une configuration donnée à partir d'une couche étendue déposée au préalable
  • H10B 61/00 - Dispositifs de mémoire magnétique, p.ex. dispositifs RAM magnéto-résistifs [MRAM]

50.

COATED CONDUCTOR FOR HEATER EMBEDDED IN CERAMIC

      
Numéro d'application 18246849
Statut En instance
Date de dépôt 2021-09-28
Date de la première publication 2024-01-18
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Hollingsworth, Joel
  • Lingampalli, Ramkishan Rao
  • Hazarika, Pankaj

Abrégé

Various embodiments herein relate to techniques for fabricating a platen for use in a semiconductor processing apparatus, as well as the platens and intermediate structures produced by such techniques. For example, such techniques may include depositing a coating on a heater to form a coated heater, where the heater includes a metal wire on which the coating is formed; placing the coated heater in powder; consolidating the powder into a cohesive mass to form a powder-based composite; and sintering the powder-based composite to form the platen, where the platen includes the heater embedded in sintered ceramic material. The coating on the heater may act to protect the heater from chemical attack from carbon- and/or oxygen-containing compounds that may be present during sintering. The platen may be part of a pedestal that, once fabricated, may be installed in a semiconductor processing apparatus.

Classes IPC  ?

  • H05B 3/28 - Eléments chauffants ayant une surface s'étendant essentiellement dans deux dimensions, p.ex. plaques chauffantes non flexibles le conducteur chauffant enrobé dans un matériau isolant
  • C04B 35/64 - Procédés de cuisson ou de frittage

51.

METHODS AND APPARATUS FOR CONTROLLING PLASMA IN A PLASMA PROCESSING SYSTEM

      
Numéro d'application 18475006
Statut En instance
Date de dépôt 2023-09-26
Date de la première publication 2024-01-18
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Valcore, Jr., John C.
  • Lyndaker, Bradford J.

Abrégé

Methods and apparatus for processing a substrate in a multi-frequency plasma processing chamber are disclosed. The base RF signal pulses between a high power level and a low power level. Each of the non-base RF generators, responsive to a control signal, proactively switches between a first predefined power level and a second predefined power level as the base RF signal pulses. Alternatively or additionally, each of the non-base RF generators, responsive to a control signal, proactively switches between a first predefined RF frequency and a second predefined RF frequency as the base RF signal pulses. Techniques are disclosed for ascertaining in advance of production time the first and second predefined power levels and/or the first and second predefined RF frequencies for the non-base RF signals.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • B44C 1/22 - Enlèvement superficiel de matière, p.ex. par gravure, par eaux fortes
  • H03L 5/00 - Commande automatique de la tension, du courant ou de la puissance

52.

C-shroud Modification For Plasma Uniformity Without Impacting Mechanical Strength Or Lifetime Of The C-shroud

      
Numéro d'application 18042198
Statut En instance
Date de dépôt 2022-01-14
Date de la première publication 2024-01-11
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Mankidy, Pratik
  • Kim, Jaewon
  • Singh, Harmeet
  • Li, Ming

Abrégé

A confinement ring for use in a plasma processing chamber includes an upper horizontal section, a vertical section, and a lower horizontal section. The upper horizontal section extends between an upper inner radius and an outer radius of the confinement ring, The lower horizontal section extends between an lower inner radius and the outer radius of the confinement ring, and includes an extension section that extends to the lower inner radius. A top surface of the lower horizontal section provides for an angle down toward the lower inner radius. The vertical section is disposed between the outer radius and an inside radius of the confinement ring. The vertical section connects the upper horizontal section to the lower horizontal section of the confinement ring.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

53.

COLLABORATIVE ROBOT SYSTEM ON A MOBILE CART WITH A CHAMBER DOCKING SYSTEM

      
Numéro d'application 17908235
Statut En instance
Date de dépôt 2021-03-02
Date de la première publication 2024-01-11
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Brand, Vitali
  • Gadepally, Kamesh Venkata
  • Zhao, Jiawei
  • Marohl, Dan
  • Vaghela, Niraj
  • Liu, Heng
  • Walker, Alexander James
  • Blum, Zachary Jake
  • Clark, Matthew Christopher
  • Kim, Jessica Jeana

Abrégé

A robot system for servicing a semiconductor tool includes a cart frame. An arm support frame is fixed to the cart frame and is coupled to a robot arm. An arm frame is connected by hinges to the arm support frame at a first end and to a fixture connect interface at a second end. The fixture connect interface connects to a docking fixture of the semiconductor tool. An arm locking mechanism is attached to the arm support frame for locking the arm frame, when rotated, to an extended position or a folded position. The fixture connect interface connects the cart frame to the semiconductor tool, when the arm frame is locked in the extended position.

Classes IPC  ?

  • B25J 11/00 - Manipulateurs non prévus ailleurs
  • B25J 5/00 - Manipulateurs montés sur roues ou sur support mobile
  • B25J 9/16 - Commandes à programme

54.

LOW RESISTANCE PULSED CVD TUNGSTEN

      
Numéro d'application 18253196
Statut En instance
Date de dépôt 2021-11-16
Date de la première publication 2024-01-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Pan, Yu
  • Hsieh, Yao-Tsung
  • Ba, Xiaolan
  • Gao, Juwen

Abrégé

Provided herein are methods of depositing tungsten (W) films without depositing a nucleation layer. In certain embodiments, the methods involve depositing a conformal layer of boron (B) on a substrate. The substrate generally includes a feature to be filled with tungsten with the boron layer conformal to the topography of the substrate including the feature. The reducing agent layer is then exposed to a continuous flow of hydrogen and pulses of fluorine-containing tungsten precursor in a pulsed CVD process. The conformal boron layer is converted to a conformal tungsten layer.

Classes IPC  ?

  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 21/28 - Fabrication des électrodes sur les corps semi-conducteurs par emploi de procédés ou d'appareils non couverts par les groupes
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • C23C 16/14 - Dépôt d'un seul autre élément métallique
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

55.

PRECURSOR DISPENSING SYSTEMS WITH LINE CHARGE VOLUME CONTAINERS FOR ATOMIC LAYER DEPOSITION

      
Numéro d'application 18037146
Statut En instance
Date de dépôt 2021-12-01
Date de la première publication 2024-01-04
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Sangplug, Saangrut
  • Durbin, Aaron
  • Murugaiyan, Murthi
  • Miller, Aaron Blake
  • Qiu, Huatan
  • Bhimarasetti, Gopinath
  • Rai, Vikrant
  • Wilson, Vincent

Abrégé

A precursor dispensing system includes a source, an ampoule, a first valve, a second valve, a line charge volume container and a controller. The source supplies a liquid precursor. The ampoule receives the liquid precursor from the source. The first valve adjusts flow of the liquid precursor from the source to the ampoule. The second valve adjusts flow of a precursor vapor from the ampoule to a showerhead of a substrate processing chamber. The line charge volume container is connected to a conduit and stores a charge of the precursor vapor, where the conduit extends from the ampoule to the second valve. The controller: opens the first valve and closes the second valve to precharge the line charge volume container; and during a dose operation, open the second valve to dispense a bulk amount of the precursor vapor from the line charge volume container and into the substrate processing chamber.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

56.

BACKSIDE DEPOSITION AND LOCAL STRESS MODULATION FOR WAFER BOW COMPENSATION

      
Numéro d'application 18255287
Statut En instance
Date de dépôt 2021-11-19
Date de la première publication 2024-01-04
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Huang, Yanhui
  • Chandrasekar, Vignesh
  • Bapat, Shriram Vasant
  • Vintila, Adriana

Abrégé

In A bow compensation layer deposited on a backside of a bowed semiconductor substrate may modulate stress to mitigate asymmetric bowing. In some implementations, the bow compensation layer may be formed by varying precursor concentration adjacent to the backside according to a non-linear mass flow profile along the bowed semiconductor substrate. Precursor flow may be varied in a manner to match or substantially match a parabolic or polynomial function. In some implementations, a showerhead pedestal may vary precursor flow along the bowed semiconductor substrate, where the showerhead pedestal is divided into multiple zones for delivering a first gas to a first zone of a plenum volume and a second gas to a second zone of the plenum volume.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

57.

PEDESTAL INCLUDING SEAL

      
Numéro d'application 18035200
Statut En instance
Date de dépôt 2021-11-09
Date de la première publication 2023-12-28
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Gage, Christopher

Abrégé

A pedestal assembly for a substrate processing system includes a pedestal including a pedestal plate with a plurality of gas through holes and a stem extending downwardly from the pedestal plate. The plurality of gas through holes extend from a first surface of the pedestal plate to a second surface of the pedestal plate at a location radially outside of the stem. A collar is arranged around the stem of the pedestal and openings of the plurality of gas through holes are located on the second surface of the pedestal. The collar defines an annular volume between the collar and the stem of the pedestal. An upwardly facing surface of the collar makes a surface-to-surface seal with the second surface of the pedestal.

Classes IPC  ?

  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

58.

REFLECTOMETER TO MONITOR SUBSTRATE MOVEMENT

      
Numéro d'application 18244905
Statut En instance
Date de dépôt 2023-09-11
Date de la première publication 2023-12-28
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Pape, Eric A.
  • Opaits, Dmitry
  • Luque, Jorge
  • Bonde, Jeffrey D.
  • Tian, Siyuan

Abrégé

Various embodiments include a reflectometer and a reflectometry system for monitoring movements of a substrate, such as a silicon wafer. In one embodiment, a reflectometry system monitors and controls conditions associated with a substrate disposed within a process chamber. The process chamber includes a substrate-holding device having an actuator mechanism to control movement of the substrate with respect to the substrate-holding device. The reflectometry system includes a light source configured to emit a beam of light directed at the substrate, collection optics configured to receive light reflected from the substrate by the beam of light directed at the substrate and output a signal related to one or more conditions associated with the substrate, and a processor configured to process the signal and direct the actuator mechanism to control the movement of the substrate with respect to the substrate-holding device based on the signal. Other devices and methods are disclosed.

Classes IPC  ?

  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • G01S 17/48 - Systèmes de triangulation active, c. à d. utilisant la transmission et la réflexion d'ondes électromagnétiques autres que les ondes radio
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • G01N 21/956 - Inspection de motifs sur la surface d'objets
  • G01B 21/24 - Dispositions pour la mesure ou leurs détails, où la technique de mesure n'est pas couverte par les autres groupes de la présente sous-classe, est non spécifiée ou est non significative pour tester l'alignement des axes pour tester l'alignement des axes
  • G01B 21/12 - Dispositions pour la mesure ou leurs détails, où la technique de mesure n'est pas couverte par les autres groupes de la présente sous-classe, est non spécifiée ou est non significative pour mesurer des diamètres d'objets en mouvement

59.

PHOTORESIST DEVELOPMENT WITH ORGANIC VAPOR

      
Numéro d'application 18254787
Statut En instance
Date de dépôt 2021-12-03
Date de la première publication 2023-12-28
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Dictus, Dries
  • Wu, Chenghao
  • Hansen, Eric Calvin
  • Weidman, Timothy William

Abrégé

Development of resists are useful, for example, to form a patterning mask in the context of high-resolution patterning. Development can be accomplished using an organic vapor such as a carboxylic acid. In some implementations, the organic vapor is trifluoroacetic acid. In some implementations, the organic vapor is hexafluoro-acetylacetone. A metal-containing resist film such as an EUV-sensitive organo-metal oxide may be deposited on a semiconductor substrate using a dry or wet deposition technique. The metal-containing resist film on the semiconductor substrate may be developed using the organic vapor, or residue of metal-containing resist material formed on surfaces of a process chamber may be removed using the organic vapor.

Classes IPC  ?

  • C09K 13/08 - Compositions pour l'attaque chimique, la gravure, le brillantage de surface ou le décapage contenant un acide inorganique contenant un composé du fluor
  • G03F 7/36 - Dépouillement selon l'image non couvert par les groupes , p.ex. utilisant un courant gazeux, un plasma
  • G03F 7/004 - Matériaux photosensibles
  • H01L 21/033 - Fabrication de masques sur des corps semi-conducteurs pour traitement photolithographique ultérieur, non prévue dans le groupe ou comportant des couches inorganiques

60.

TOOL FOR PREVENTING OR SUPPRESSING ARCING

      
Numéro d'application 18463647
Statut En instance
Date de dépôt 2023-09-08
Date de la première publication 2023-12-28
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sakiyama, Yukinori
  • Leeser, Karl Frederick
  • Burkhart, Vincent

Abrégé

A tool that suppresses or altogether eliminates arcing between a substrate pedestal and substrate is disclosed. The tool includes a processing chamber, a substrate pedestal for supporting a substrate within the processing chamber, and shower head positioned within the processing chamber. The shower head is arranged to dispense gas that is turned into a plasma, which develops a DC self-bias potential on the substrate surface. The tool also includes a bias control system configured to induce a DC potential to the substrate at a deliberate target electrical potential.

Classes IPC  ?

  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

61.

APPARATUSES AND METHODS FOR AVOIDING ELECTRICAL BREAKDOWN FROM RF TERMINAL TO ADJACENT NON-RF TERMINAL

      
Numéro d'application 18465113
Statut En instance
Date de dépôt 2023-09-11
Date de la première publication 2023-12-28
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Kim, Hyungjoon
  • Kapoor, Sunil
  • Leeser, Karl
  • Burkhart, Vince

Abrégé

An isolation system includes an input junction coupled to one or more RF power supplies via a match network for receiving radio frequency (RF) power. The isolation system further includes a plurality of channel paths connected to the input junction for distributing the RF power among the channel paths. The isolation system includes an output junction connected between each of the channel paths and to an electrode of a plasma chamber for receiving portions of the distributed RF power to output combined power and providing the combined RF power to the electrode. Each of the channel paths includes bottom and top capacitors for blocking a signal of the different type than that of the RF power. The isolation system avoids a risk of electrical arcing created by a voltage difference between an RF terminal and a non-RF terminal when the terminals are placed proximate to each other.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

62.

MULTI-LAYER AND MULTI-RINGED SEALS FOR PREVENTING PERMEATION AND LEAK-BY OF FLUID

      
Numéro d'application 18031206
Statut En instance
Date de dépôt 2021-10-18
Date de la première publication 2023-12-28
Propriétaire LAM RESEACH CORPORATION (USA)
Inventeur(s)
  • Leeser, Karl Frederick
  • Streng, Bradley Taylor
  • Linebager, Jr., Nick Ray

Abrégé

A seal to prevent fluid through a first interface between the seal and a first body, includes first and second band members and a bridge member. The first band member defines a first band seal surface on first side of the first band member. The first band member is configured to provide a first fluid seal with the first body when compressed to the first body. The second band member defines a second band seal surface on a first side of the second band member. The second band member is configured to provide a second fluid seal with the first body when compressed to the first body. The first band member and the second band member at least partially define a cavity between the first band member and the second band member. The bridge member extends through the cavity and connects the first band member to the second band member.

Classes IPC  ?

  • F16J 15/00 - Joints d'étanchéité
  • F16J 15/10 - Joints d'étanchéité entre surfaces immobiles entre elles avec garniture solide comprimée entre les surfaces à joindre par garniture non métallique

63.

MULTl-STATION TOOL WITH ROTATABLE TOP PLATE ASSEMBLY

      
Numéro d'application 18250510
Statut En instance
Date de dépôt 2021-10-26
Date de la première publication 2023-12-28
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Leeser, Karl Frederick
  • Lavoie, Adrien

Abrégé

Semiconductor processing tools with multi-station processing chambers are provided that include a rotational bearing mechanism that allows a top plate assembly thereof to be rotated during maintenance and service operations. In some implementations, a vertical displacement mechanism may be provided that may be used to transition the top plate assembly between a first configuration and a second configuration, with the top plate assembly being rotatable in at least the second configuration.

Classes IPC  ?

  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

64.

CERAMIC COMPONENT WITH CHANNELS

      
Numéro d'application 18034635
Statut En instance
Date de dépôt 2021-11-01
Date de la première publication 2023-12-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Kerns, John Michael
  • Wetzel, David Joseph
  • Xu, Lin
  • Hazarika, Pankaj
  • Detert, Douglas
  • Liu, Lei
  • Pape, Eric A.

Abrégé

A method for forming a component for a plasma processing chamber is provided. An internal mold is provided. An external mold is provided around the internal mold. The external mold is filled with a ceramic powder, wherein the ceramic powder surrounds the internal mold. The ceramic powder is sintered to form a solid part. The solid part is removed from the external mold.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C04B 41/00 - Post-traitement des mortiers, du béton, de la pierre artificielle ou des céramiques; Traitement de la pierre naturelle
  • C04B 35/01 - Produits céramiques mis en forme, caractérisés par leur composition; Compositions céramiques; Traitement de poudres de composés inorganiques préalablement à la fabrication de produits céramiques à base d'oxydes

65.

PROCESS KIT DE-BUBBLING

      
Numéro d'application 18037537
Statut En instance
Date de dépôt 2021-11-30
Date de la première publication 2023-12-21
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Fortner, James Isaac
  • Mayer, Steven T.
  • Banik, Stephen J.

Abrégé

In some examples, an electroplating apparatus is provided for depositing a metal layer on a substrate. An example electroplating apparatus comprises a plating cell to receive a plating solution, an electrode, a counter electrode, a substrate holding fixture, a resistive element, and a de-bubbler device supportable rotatably adjacent the resistive element to generate or direct a flow of plating solution through the resistive element to release trapped bubbles.

Classes IPC  ?

  • C25D 21/04 - Enlèvement des gaz ou des vapeurs
  • C25D 17/00 - PROCÉDÉS POUR LA PRODUCTION ÉLECTROLYTIQUE OU ÉLECTROPHORÉTIQUE DE REVÊTEMENTS; GALVANOPLASTIE; JONCTION DE PIÈCES PAR ÉLECTROLYSE; APPAREILLAGES À CET EFFET Éléments structurels, ou leurs assemblages, des cellules pour revêtement électrolytique

66.

CARRIER RING FOR FLOATING TCP CHAMBER GAS PLATE

      
Numéro d'application 18026785
Statut En instance
Date de dépôt 2021-09-14
Date de la première publication 2023-12-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Peng, Gordon
  • Chhatre, Ambarish
  • Rosslee, Craig
  • Marohl, Dan
  • Setton, David

Abrégé

A gas distribution assembly for a processing chamber in a substrate processing system includes a gas plate including a plurality of holes configured to supply a gas mixture into an interior of the processing chamber and a carrier ring configured to support the gas plate. The carrier ring includes an annular body and a radially inwardly projecting portion. The radially inwardly projecting portion has a first inner diameter and the annular body has a second inner diameter greater than the first inner diameter, the radially inwardly projecting portion defines a ledge, and the gas plate is arranged on the ledge of the carrier ring. A dielectric window is arranged on the gas plate above the gas plate and the carrier ring such that the gas plate is supported between the carrier ring and the dielectric window.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

67.

SUBSTRATE PROCESSING SYSTEM TOOLS FOR MONITORING, ASSESSING AND RESPONDING BASED ON HEALTH INCLUDING SENSOR MAPPING AND TRIGGERED DATALOGGING

      
Numéro d'application 18034834
Statut En instance
Date de dépôt 2021-11-03
Date de la première publication 2023-12-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Freese, Bridget Hill
  • Baldwin, Scott
  • Tang, Justin
  • Chau, Raymond
  • Raabe, Thor Andreas
  • Steger, Robert J.
  • Zhu, Lin

Abrégé

A health monitoring, assessing and response system includes an interface and a controller. The interface is configured to receive a signal from a sensor disposed in a substrate processing system. The controller includes a health index module. The health index module is configured to perform an algorithm including: obtaining a window and a boundary threshold; monitoring the signal output from the sensor; determining whether the signal has crossed the boundary threshold; updating a health index component, where the health index component is a binary value and transitioned between HIGH and LOW values in response to the signal crossing the boundary threshold; and generating a health index value based on the health index component and decreasing the health index value from 100% to 0% over a duration of at least the window. The controller is configured to perform a countermeasure based on the health index value.

Classes IPC  ?

  • G01R 31/28 - Test de circuits électroniques, p.ex. à l'aide d'un traceur de signaux
  • G05B 23/02 - Test ou contrôle électrique
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

68.

LOCALIZED PLASMA ARC PREVENTION VIA PURGE RING

      
Numéro d'application 18250349
Statut En instance
Date de dépôt 2021-10-22
Date de la première publication 2023-12-14
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Leeser, Karl Frederick
  • Baker, Bradley John
  • Keshavamurthy, Arun
  • Roham, Sassan

Abrégé

A purge ring including a supply port configured for receiving gas. An outer channel is connected to the supply port. An outlet network is configured for an exit flow of the gas proximate to an inner diameter of the purge ring. The purge ring includes a plurality of channels configured for flow of the gas in a radial direction from the outer channel to the outlet network. The purge ring includes a plurality of passageways configured for reduced flow of the gas in the radial direction between the outer channel and the outlet network. The plurality of channels and the plurality of passageways are configured for providing a uniform pressure of the exit flow of the gas across the outlet network circumference.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

69.

PREDICTIVE MAINTENANCE FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT

      
Numéro d'application 18251977
Statut En instance
Date de dépôt 2021-11-09
Date de la première publication 2023-12-14
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Guo, Jian
  • Roham, Sassan
  • Sawlani, Kapil
  • Jin, Xiaoqiang
  • Danek, Michal
  • Williams, Brian Joseph
  • Solomon, Natan

Abrégé

Various embodiments herein relate to systems and methods for predictive maintenance for semiconductor manufacturing equipment. In some embodiments, a predictive maintenance system includes a processor that is configured to: receive offline data that indicates historical operating conditions and historical manufacturing information corresponding to manufacturing equipment that conducts a manufacturing process; calculate predicted equipment health status information by using a trained model that takes the offline data as an input; receive real-time data that indicates current operating conditions of the manufacturing equipment; calculate estimated equipment health status information by using the trained model that takes the real-time data as an input; calculate adjusted equipment health status information by combining the predicted equipment health status information and the estimated equipment health status information; and present the adjusted equipment health status information that includes an expected remaining useful life (RUL) of at least one component of the manufacturing equipment.

Classes IPC  ?

70.

SUBLIMATION CONTROL USING DOWNSTREAM PRESSURE SENSING

      
Numéro d'application 18035456
Statut En instance
Date de dépôt 2021-11-17
Date de la première publication 2023-12-14
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Brees, Marvin Clayton
  • Sharma, Davinder
  • Wongsenakhum, Panya

Abrégé

A system to control gas flow includes an ampoule to store a solid precursor. A heater is to heat the ampoule and to sublimate the solid precursor into a gaseous precursor. A mass flow controller is to regulate a flow of gaseous precursor from the ampoule to a substrate processing chamber. A pressure sensor is to measure a pressure of the gaseous precursor input to the mass flow controller. A controller is to apply power to the electric heater using closed loop control based on the pressure and a pressure setpoint.

Classes IPC  ?

  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
  • C23C 16/52 - Commande ou régulation du processus de dépôt
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 14/54 - Commande ou régulation du processus de revêtement

71.

COLD EDGE LOW TEMPERATURE ELECTROSTATIC CHUCK

      
Numéro d'application 18032154
Statut En instance
Date de dépôt 2021-09-09
Date de la première publication 2023-12-07
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Chhatre, Ambarish
  • Chung, Patrick
  • Marohl, Dan
  • Rosslee, Craig A.
  • Setton, David A.
  • Shaik, Mohammad Sohail

Abrégé

An electrostatic chuck is provided. In one example, the electrostatic chuck includes a base plate, a bond layer disposed over the base plate, a ceramic plate, and a heater. The ceramic plate includes a bottom surface disposed over the bond layer and a raised top surface for supporting a substrate. The raised top surface includes an outer diameter. The heater is disposed between the bottom surface of the ceramic plate and the bond layer. The heater element includes an inner heating element and an outer heating element. The inner heating element is arranged in a central circular area adjacent to the bottom surface of the ceramic plate and the outer heating element is arranged in an annular area that surrounds the central circular area and is adjacent to the bottom surface of the ceramic plate. An outer diameter of the outer heating element is inset from an annual heater setback region of the ceramic plate. The annular heater setback region is between the outer diameter of the raised top surface and the outer diameter of the outer heating element. The base plate includes a plurality of cooling channels. The plurality of cooling channels is disposed below the inner heating element, below the outer heating element, and below the annular heater setback region. Each of plurality of the cooling channels are configured to flow a cooling fluid to cause thermally conductive cooling in the annular heater setback region of the ceramic plate.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

72.

AUTO-CALIBRATION TO A STATION OF A PROCESS MODULE THAT SPINS A WAFER

      
Numéro d'application 18448871
Statut En instance
Date de dépôt 2023-08-11
Date de la première publication 2023-12-07
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Hiester, Jacob L.
  • Blank, Richard
  • Thaulad, Peter
  • Konkola, Paul

Abrégé

A method for calibration including determining a temperature induced offset in a pedestal of a process module under a temperature condition for a process. The method includes delivering a wafer to the pedestal of the process module by a robot, and detecting an entry offset. The method includes rotating the wafer over the pedestal by an angle. The method includes removing the wafer from the pedestal by the robot and measuring an exit offset. The method includes determining a magnitude and direction of the temperature induced offset using the entry offset and exit offset.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces
  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement
  • H01L 21/677 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le transport, p.ex. entre différents postes de travail
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/66 - Test ou mesure durant la fabrication ou le traitement

73.

AXIALLY COOLED METAL SHOWERHEADS FOR HIGH TEMPERATURE PROCESSES

      
Numéro d'application 18026431
Statut En instance
Date de dépôt 2021-06-02
Date de la première publication 2023-11-30
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Yap, Lipyeow
  • Vikraman, Nivin
  • Wongsenakhum, Panya
  • Lind, Gary B.

Abrégé

A base portion of a showerhead is made of a first metallic material, has a first surface including a gas inlet and a second surface, and includes passages. A faceplate is made of a second metallic material and has side surfaces attached to the second surface and has a bottom surface that along with the second surface define a plenum. The faceplate includes walls that extend from the bottom surface upwards through the plenum and that contact the second surface, and outlets arranged along the walls. A heater is disposed in a groove along a periphery of the base portion. A cooling plate is arranged on the first surface and includes a conduit for a coolant. A plate is made of a third material having a lower thermal conductivity than the first and second metallic materials and is arranged between the cooling plate and the base portion.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction

74.

OXIDATION RESISTANT PROTECTIVE LAYER IN CHAMBER CONDITIONING

      
Numéro d'application 18447199
Statut En instance
Date de dépôt 2023-08-09
Date de la première publication 2023-11-30
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Lai, Fengyuan
  • Gong, Bo
  • Yuan, Guangbi
  • Hsu, Chen-Hua
  • Varadarajan, Bhadri

Abrégé

In some examples, a method for conditioning a wafer processing chamber comprises setting a pressure in the chamber to a predetermined pressure range, setting a temperature of the chamber to a predetermined temperature, and supplying a process gas mixture to a gas distribution device within the chamber. A plasma is struck within the chamber and a condition in the chamber is monitored. Based on a detection of the monitored condition meeting or transgressing a threshold value, a chamber conditioning operation is implemented. The chamber conditioning operation may include depositing a preconditioning film onto an internal surface of the chamber, depositing a silicon oxycarbide (SiCO) film onto the preconditioning film, and depositing a protective layer onto the SiCO film.

Classes IPC  ?

  • C23C 16/40 - Oxydes
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives

75.

SELECTIVE DEPOSITION OF METAL OXIDES USING SILANES AS AN INHIBITOR

      
Numéro d'application 18245939
Statut En instance
Date de dépôt 2021-09-23
Date de la première publication 2023-11-30
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Sharma, Kashish
  • Lemaire, Paul C.
  • Hausmann, Dennis M.

Abrégé

The present disclosure relates to methods and apparatuses for selective deposition on a surface. In particular, a silicon-containing inhibitor can be used to selectively bind to a first region, thus inhibiting deposition of a material on that first region.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/56 - Post-traitement
  • C23C 16/40 - Oxydes
  • C23C 16/34 - Nitrures
  • C23C 16/02 - Pré-traitement du matériau à revêtir
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

76.

COMPACT MODULAR GAS DISTRIBUTION PLUMBING AND HEATING SYSTEM FOR MULTI-STATION DEPOSITION MODULES

      
Numéro d'application 18027288
Statut En instance
Date de dépôt 2021-09-24
Date de la première publication 2023-11-23
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Bamford, Thadeous

Abrégé

A gas distribution arrangement to provide gas mixtures to processing stations in a substrate processing system comprises a first and second valve inlet blocks to supply first and second precursor gas mixtures. The first valve inlet block is arranged above the processing stations and comprises a first housing that encloses a first plurality of valves in fluid communication with the processing stations and a first precursor gas manifold, a first co-flow gas manifold, and a first divert outlet manifold in fluid communication with the first plurality of valves. The second valve inlet block is arranged above the first valve inlet block and comprises a second housing that encloses a second plurality of valves in fluid communication with the processing stations and a second precursor gas manifold, a second co-flow gas manifold, and a second divert outlet manifold in fluid communication with the second plurality of valves.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants

77.

SHOWERHEAD WITH INTEGRAL DIVERT FLOW PATH

      
Numéro d'application 18029963
Statut En instance
Date de dépôt 2021-09-30
Date de la première publication 2023-11-23
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Bhimarasetti, Gopinath
  • Miller, Aaron Blake
  • Batzer, Rachel E.

Abrégé

A showerhead for a processing chamber comprises a body having upper, lower, and side surfaces defining a plenum; and a plurality of through holes provided on the lower surface of the body. The plurality of through holes are in fluid communication with the plenum and the processing chamber. The showerhead comprises an inlet provided on one of the upper and side surfaces of the body and a first passage provided in the body. The first passage connects the inlet to the plenum. The showerhead comprises an outlet provided on one of the upper and side surfaces of the body and a second passage provided in the body. The second passage connects the outlet to the plenum.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement

78.

VAPOR DELIVERY DEVICE

      
Numéro d'application 18030308
Statut En instance
Date de dépôt 2021-10-05
Date de la première publication 2023-11-23
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Bamford, Thadeous
  • Reyes, Jorgr
  • Draper, Emile

Abrégé

An evaporator assembly for a processing chamber in a substrate processing system comprises a canister configured to store and heat precursor liquid and an evaporator valve block mounted the canister. The evaporator valve block comprises a body, a plurality of valves mounted on the body, a carrier gas inlet in fluid communication with the canister, a precursor liquid inlet in fluid communication with the canister, a vapor port in fluid communication with the canister, and a vapor outlet in fluid communication with the processing chamber. Each of the plurality of valves is in fluid communication with respective flow paths contained within the evaporator valve block.

Classes IPC  ?

  • C23C 16/448 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour produire des courants de gaz réactifs, p.ex. par évaporation ou par sublimation de matériaux précurseurs
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

79.

PROFILE OPTIMIZATION FOR HIGH ASPECT RATIO MEMORY USING AN ETCH FRONT METAL CATALYST

      
Numéro d'application 18011465
Statut En instance
Date de dépôt 2021-12-08
Date de la première publication 2023-11-16
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Belau, Leonid
  • Hudson, Eric

Abrégé

A method for etching features in a silicon containing stack below a patterned mask in an etch chamber is provided. The stack is partially etched by providing a halogen containing etch gas and forming the halogen containing etch gas into a halogen containing plasma, wherein the halogen containing plasma partially etches features into the stack, wherein the features have an etch front. A metal catalyst containing layer is deposited on the etch front of the features by providing a metal catalyst containing gas, forming the metal catalyst containing gas into a metal catalyst containing plasma, and selectively depositing more of the metal catalyst containing layer on the etch front and bottoms of the features than tops of the features. The features are further etched by providing a fluorine containing etch gas and forming the fluorine containing etch gas into a fluorine containing plasma, wherein the fluorine containing plasma selectively etches sidewalls adjacent to the etch front of the features with respect to sidewalls adjacent to tops of the features.

Classes IPC  ?

80.

HIGH TEMPERATURE PEDESTAL WITH EXTENDED ELECTROSTATIC CHUCK ELECTRODE

      
Numéro d'application 18028689
Statut En instance
Date de dépôt 2021-09-28
Date de la première publication 2023-11-16
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Bi, Feng
  • Sakiyama, Yukinori
  • Rana, Niraj
  • Zhang, Pengyi
  • Shah, Simran
  • Thomas, Timothy Scott
  • French, David
  • Burkhart, Vincent

Abrégé

A substrate support configured to support a substrate having a diameter D comprises a first inner electrode and a second inner electrode that are each D-shaped, define a first outer diameter that is less than D, and are configured to be connected to an electrostatic chuck voltage to clamp the substrate to the substrate support. An outer electrode comprises a ring-shaped outer portion that surrounds the first inner electrode and the second inner electrode and a center portion that pass between the first inner electrode and the second inner electrode to connect to opposite sides of an inner diameter of the ring-shaped outer portion. The inner diameter of the ring-shaped outer portion is greater than the diameter D such that the inner diameter of the ring-shaped outer portion and intersections between the center portion and the ring-shaped outer portion are located radially outside of the diameter D of the substrate.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

81.

FACELESS SHOWERHEAD

      
Numéro d'application 18029641
Statut En instance
Date de dépôt 2021-10-08
Date de la première publication 2023-11-16
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Bapat, Shriram Vasant
  • Ramnani, Pankaj Ghanshyam
  • Williams, Brian Joseph
  • Jones, Christopher Matthew
  • Bailey, Curtis W.
  • Draper, Emile
  • Shankar, Nagraj

Abrégé

In some examples, a faceless showerhead comprises a body including a backing plate, the body devoid of a faceplate or plenum; a gas supply stem to admit gas into the showerhead; and a baffle supported adjacent the backing plate or the gas supply stem. The faceless showerhead may further comprise at least one support element for supporting the baffle in a baffle cavity in the backing plate or the gas supply stem.

Classes IPC  ?

  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • C23C 16/505 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence

82.

MOVEABLE EDGE RINGS FOR PLASMA PROCESSING SYSTEMS

      
Numéro d'application 18029708
Statut En instance
Date de dépôt 2021-09-29
Date de la première publication 2023-11-16
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Kimball, Christopher
  • Ehrlich, Darrell
  • Ohkura, Yuma

Abrégé

A moveable edge ring system for a substrate processing system includes a top moveable ring including a first annular body arranged around a substrate support. The top moveable ring is exposed to plasma during substrate processing. A moveable support ring is arranged below the top moveable ring and radially outside of a baseplate of the substrate support and includes a second annular body. A shield ring is arranged radially outside of the moveable support ring and includes a third annular body. A cover ring includes a fourth annular body arranged above a radially outer edge of the top moveable ring. An actuator and a lift pin are configured to adjust a position of the top moveable ring and the moveable support ring relative to the shield ring and the cover ring.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/687 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension en utilisant des moyens mécaniques, p.ex. mandrins, pièces de serrage, pinces

83.

SYSTEMS AND METHODS FOR METASTABLE ACTIVATED RADICAL SELECTIVE STRIP AND ETCH USING DUAL PLENUM SHOWERHEAD

      
Numéro d'application 18217696
Statut En instance
Date de dépôt 2023-07-03
Date de la première publication 2023-11-16
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Yang, Dengliang
  • Fang, Haoquan
  • Cheung, David
  • Amburose, Gnanamani
  • Ko, Eunsuk
  • Luo, Weiyi
  • Zhang, Dan

Abrégé

Several designs of a gas distribution device for a substrate processing system are provided. The gas distribution device includes a dual plenum showerhead. Additionally, designs for a light blocking structure used with the showerheads are also provided.

Classes IPC  ?

  • H01L 21/67 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/311 - Gravure des couches isolantes

84.

Wear Compensating Confinement Ring

      
Numéro d'application 18250740
Statut En instance
Date de dépôt 2020-10-30
Date de la première publication 2023-11-16
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Mace, Adam Christopher
  • Memaran, Shahriar
  • Charatan, Robert
  • Jang, Siwon

Abrégé

A confinement ring for use in a plasma processing chamber includes a lower horizontal section, a vertical section, and a upper horizontal section. The lower horizontal section extends between an inner lower radius and an outer radius of the confinement ring, and includes an extension section that extends vertically downward at the inner lower radius. A plurality of slots is defined in the lower horizontal section, wherein each slot extends radially from an inner diameter to an outer diameter along the lower horizontal section. An inner slot radius of each slot at the inner diameter is defined to be less than an outer slot radius at the outer diameter. The upper horizontal section extends between an inner upper radius and the outer radius of the confinement ring, and the vertical section integrally continues the lower horizontal section to the upper horizontal section at the outer radius of the confinement ring.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

85.

HIGH PRECISION EDGE RING CENTERING FOR SUBSTRATE PROCESSING SYSTEMS

      
Numéro d'application 17912990
Statut En instance
Date de dépôt 2020-03-23
Date de la première publication 2023-11-16
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Han, Hui Ling
  • Ramachandran, Seetharaman
  • Estoque, Marc

Abrégé

An edge ring centering system for a plasma processing system includes a processing chamber including a substrate support and R edge ring lift pins, where R is an integer greater than or equal to 3. An edge ring includes P grooves located on a bottom surface thereof, where P is an integer greater than or equal to R. A robot arm includes an end effector. A controller is configured to cause the optical sensor to sense a first position of the edge ring on the end effector; cause the robot arm to deliver the edge ring to a first center location on the edge ring lift pins; retrieve the edge ring from the edge ring lift pins; and cause the optical sensor to sense a second position of the edge ring on the end effector.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H01L 21/68 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le positionnement, l'orientation ou l'alignement

86.

HEAT-TRANSFERRING VALVE FLEXURE AND METHODS

      
Numéro d'application 18026322
Statut En instance
Date de dépôt 2021-08-30
Date de la première publication 2023-11-16
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Leeser, Karl Frederick

Abrégé

In some examples, a valve flexure for a flow control valve is provided. An example valve flexure comprises a first diaphragm; a second diaphragm, the second diaphragm directly or indirectly connected to the first diaphragm about a peripheral portion of the valve flexure, the connected first and second diaphragms enclosing an inner volume of the valve flexure; and a heat transfer medium disposed within the inner volume of the valve flexure.

Classes IPC  ?

  • F16K 49/00 - Moyens portés par ou sur les soupapes pour chauffer ou refroidir
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • F16K 7/17 - Dispositifs d'obturation à diaphragme, p.ex. dont un élément est déformé, sans être déplacé entièrement, pour fermer l'ouverture à diaphragme plat, en forme d'assiette ou en forme de bol disposé pour être déformé contre un siège plat le diaphragme étant actionné par pression d'un fluide

87.

PREPLATING EDGE DRY

      
Numéro d'application 18028638
Statut En instance
Date de dépôt 2021-09-29
Date de la première publication 2023-11-16
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Thorkelsson, Kari
  • Banik, Stephen J.
  • Buckalew, Bryan

Abrégé

A chamber in a substrate processing system comprises a substrate holder configured to support a substrate, a nozzle arranged above the substrate, the nozzle configured to inject a pre-wetting liquid onto a surface of the substrate during a pre-wetting period, and at least one gas injector arranged radially outward of the nozzle. The at least one gas injector is configured to inject gas toward an edge of the substrate for a drying period subsequent to the pre-wetting period to remove the pre-wetting liquid from the edge of the substrate.

Classes IPC  ?

88.

HIGH-CONDUCTANCE VACUUM VALVES FOR WAFER PROCESSING SYSTEMS

      
Numéro d'application 18006531
Statut En instance
Date de dépôt 2021-07-23
Date de la première publication 2023-11-09
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Pioux, Gabriel
  • Ronne, Allan

Abrégé

A semiconductor processing chamber performs various wafer processing operations that involve at least one of pumping the chamber to high vacuum states and regulating a vacuum (e.g., during introduction of process gases, as gas infiltrates the chamber, as reactions emit gases, as a wafer off-gases, etc.). A vacuum valve may be fluidically coupled between a vacuum pumping system and at least a portion of the semiconductor processing chamber. The vacuum valve may be a high-conductance multi-stage poppet valve enabling a relatively high gas flow rate and/or low pressure drop. In an open state, the multi-stage design of the poppet valve may have larger cross-sectional openings, in aggregate, than a comparable single-stage poppet valve could achieve, thereby increasing conductance.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

89.

ROBUST ASHABLE HARD MASK

      
Numéro d'application 18245950
Statut En instance
Date de dépôt 2021-09-23
Date de la première publication 2023-11-09
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Weimer, Matthew Scott
  • Puthenkovilakam, Ragesh
  • Reddy, Kapu Sirish

Abrégé

Provided herein are methods and related apparatuses for forming an ashable hard mask (AHM). In particular instances, use of a halogen-containing precursor can provide an AHM having improved etch resistance.

Classes IPC  ?

  • H01L 21/308 - Traitement chimique ou électrique, p.ex. gravure électrolytique en utilisant des masques
  • H01L 21/311 - Gravure des couches isolantes
  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques
  • C23C 16/509 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes internes
  • C23C 16/56 - Post-traitement
  • C23C 16/44 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement
  • C23C 16/26 - Dépôt uniquement de carbone
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction

90.

DEPOSITION RATE ENHANCEMENT OF AMORPHOUS CARBON HARD MASK FILM BY PURELY CHEMICAL MEANS

      
Numéro d'application 18247060
Statut En instance
Date de dépôt 2021-09-27
Date de la première publication 2023-11-09
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Weimer, Matthew Scott
  • Puthenkovilakam, Ragesh
  • Reddy, Kapu Sirish
  • Hsu, Chin-Jui

Abrégé

Provided herein are methods and related apparatus for depositing an ashable hard mask (AHM) on a substrate at high temperatures using an additive that reduces a competing etch process. Sulfur hexafluoride may be used to improve the deposition rate of the AHM with minimal changes to the properties of the resulting film.

Classes IPC  ?

  • C23C 16/04 - Revêtement de parties déterminées de la surface, p.ex. au moyen de masques

91.

RF PULSING WITHIN PULSING FOR SEMICONDUCTOR RF PLASMA PROCESSING

      
Numéro d'application 18348320
Statut En instance
Date de dépôt 2023-07-06
Date de la première publication 2023-11-09
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Long, Maolin
  • Wang, Yuhou
  • Wu, Ying
  • Paterson, Alex

Abrégé

A system and method for generating a radio frequency (RF) waveform are described. The method includes defining a train of on-off pulses separated by an off state having no on-off pulses. The method further includes applying a multi-level pulse waveform that adjusts a magnitude of each of the on-off pulses to generate an RF waveform. The method includes sending the RF waveform to an electrode.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • H03K 4/92 - Génération d'impulsions ayant comme caractéristique essentielle une pente définie ou des parties en gradins avec une forme d'onde comprenant une portion de sinusoïde

92.

MULTI-STATION PROCESSING TOOLS WITH STATION-VARYING SUPPORT FEATURES FOR BACKSIDE PROCESSING

      
Numéro d'application 18002289
Statut En instance
Date de dépôt 2021-06-21
Date de la première publication 2023-11-02
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Linebarger, Jr., Nick Ray
  • Shaikh, Fayaz A.
  • Dhas, Arul N.

Abrégé

Multi-station processing tools with station-varying support features for backside processing are provided. The support features in a first station may hold a wafer at a first set of points during backside deposition, blocking backside deposition, etching, or other processing at those points. The support features in a second station may hold a wafer at a second set of points that don’t overlap with the first set of points.

Classes IPC  ?

  • H01L 21/02 - Fabrication ou traitement des dispositifs à semi-conducteurs ou de leurs parties constitutives
  • C23C 16/458 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour supporter les substrats dans la chambre de réaction
  • C23C 16/455 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement caractérisé par le procédé utilisé pour introduire des gaz dans la chambre de réaction ou pour modifier les écoulements de gaz dans la chambre de réaction
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  • C23C 16/509 - Revêtement chimique par décomposition de composés gazeux, ne laissant pas de produits de réaction du matériau de la surface dans le revêtement, c. à d. procédés de dépôt chimique en phase vapeur (CVD) caractérisé par le procédé de revêtement au moyen de décharges électriques utilisant des décharges à radiofréquence utilisant des électrodes internes

93.

SYSTEMS FOR CONTROLLING PLASMA DENSITY DISTRIBUTION PROFILES INCLUDING MULTI-RF ZONED SUBSTRATE SUPPORTS

      
Numéro d'application 18013145
Statut En instance
Date de dépôt 2021-10-12
Date de la première publication 2023-11-02
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Shoeb, Juline
  • Paterson, Alexander Miller

Abrégé

A substrate processing system includes a substrate support, N RF sources and a controller. The substrate support is arranged in a processing chamber, supports a substrate on an upper surface thereof, and includes: a baseplate made of electrically conductive material and M electrodes disposed in the baseplate. Each of the N RF sources supplies a respective RF signal to one or more of the M electrodes, where: M and N are integers greater than or equal to two; each of the respective RF signals is supplied to a different set of the M electrodes; and each of the sets includes a different one or more of the M electrodes. The controller causes one or more coils to strike and maintain plasma in the processing chamber independently of the N RF sources and separately controls voltage outputs of the N RF sources to adjust the plasma in the processing chamber.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

94.

LOW TEMPERATURE MANIFOLD ASSEMBLY FOR SUBSTRATE PROCESSING SYSTEMS

      
Numéro d'application 18013699
Statut En instance
Date de dépôt 2022-05-05
Date de la première publication 2023-11-02
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s) Soto, Gabriel De Jesus

Abrégé

A manifold assembly for a processing chamber in a substrate processing system includes a manifold. The manifold includes a first valve assembly configured to flow a liquid coolant at a first temperature from a first channel of a coolant assembly to the processing chamber. The first valve assembly is configured to flow the liquid coolant at a cryogenic temperature. The manifold further includes a first weldment block including tubing associated with the first valve assembly, a second valve assembly configured to flow the liquid coolant at a second temperature greater than the first temperature from a second channel of the coolant assembly to the processing chamber, and a second weldment block including tubing associated with the second valve assembly. An insulative housing enclosing the first valve assembly, the first weldment block, and the second weldment block. The insulative housing is comprised of a plurality of layers of an insulative material.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

95.

MATCHLESS PLASMA SOURCE FOR SEMICONDUCTOR WAFER FABRICATION

      
Numéro d'application 18340437
Statut En instance
Date de dépôt 2023-06-23
Date de la première publication 2023-11-02
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Long, Maolin
  • Wang, Yuhou
  • Marsh, Ricky
  • Paterson, Alex

Abrégé

A matchless plasma source is described. The matchless plasma source includes a controller that is coupled to a direct current (DC) voltage source of an agile DC rail to control a shape of an amplified square waveform that is generated at an output of a half-bridge transistor circuit. The matchless plasma source further includes the half-bridge transistor circuit used to generate the amplified square waveform to power an electrode, such as an antenna, of a plasma chamber. The matchless plasma source also includes a reactive circuit between the half-bridge transistor circuit and the electrode. The reactive circuit has a high-quality factor to negate a reactance of the electrode. There is no radio frequency (RF) match and an RF cable that couples the matchless plasma source to the electrode.

Classes IPC  ?

  • H05H 1/46 - Production du plasma utilisant des champs électromagnétiques appliqués, p.ex. de l'énergie à haute fréquence ou sous forme de micro-ondes
  • H03F 3/217 - Amplificateurs de puissance de classe D; Amplificateurs à commutation
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

96.

PLASMA-EXCLUSION-ZONE RINGS FOR PROCESSING NOTCHED WAFERS

      
Numéro d'application 17913935
Statut En instance
Date de dépôt 2021-03-26
Date de la première publication 2023-11-02
Propriétaire LAM RESEARCH CORPORATION (USA)
Inventeur(s)
  • Hua, Xuefeng
  • Chen, Jack
  • Amburose, Gnanamani
  • Zhang, Dan
  • Huang, Chang-Wei
  • Lin, Chia-Shin

Abrégé

A plasma-exclusion-zone ring for a substrate processing system that is configured to process a substrate includes a ring-shaped body, an upper portion of the ring-shaped body, a base and a plasma-exclusion-zone ring notch. The upper portion of the ring-shaped body defines a radially inner surface and a top surface. The base of the ring-shaped body defines a radially outer surface, a first bottom surface extending radially inward from the radially outer surface, and a second bottom surface extending radially inward from the first bottom surface. The plasma-exclusion-zone ring notch is proportional to an alignment notch of the substrate. The first bottom surface is tapered and extends at an acute angle from the second bottom surface to the radially outer surface. The first bottom surface is configured to extend over and oppose a periphery of the substrate.

Classes IPC  ?

  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse

97.

ADDITIVE MANUFACTURING OF SILICON COMPONENTS

      
Numéro d'application 17923155
Statut En instance
Date de dépôt 2021-04-26
Date de la première publication 2023-11-02
Propriétaire
  • LAM RESEARCH CORPORATION (USA)
  • SILFEX, INC. (USA)
Inventeur(s)
  • Torbatisarraf, Seyedalireza
  • Rao, Abhinav Shekhar
  • Chen, Jihong
  • Song, Yi
  • Hubacek, Jerome
  • Nithiananthan, Vijay

Abrégé

A method of performing 3D printing of a silicon component includes adding powdered silicon to a 3D printing tool. For each the powdered silicon, forming a layer of the powder bed to a pre-determined thickness, directing a high-powered beam in a pre-determined pattern into the powder-bed to melt the powdered silicon. After no further layers are needed, the silicon component is cooled at a pre-determined temperature ramp-down rate. In a fully dense printing method, buffer layers of silicon are initially printed on a steel substrate, and then layers of silicon for the actual component are printed on top of the buffer layers using a double printing method. In a fully dense and crack free printing method, one or more heaters and thermal insulation are used to minimize temperature gradient during Si printing, in-situ annealing, and cooling.

Classes IPC  ?

  • C03B 19/01 - Autres méthodes de façonnage du verre par fusion progressive d'une poudre de verre sur un substrat de formage, c. à d. accrétion
  • B33Y 70/00 - Matériaux spécialement adaptés à la fabrication additive
  • B33Y 10/00 - Procédés de fabrication additive
  • B33Y 30/00 - Appareils pour la fabrication additive; Leurs parties constitutives ou accessoires à cet effet

98.

ELECTROHYDRODYNAMIC EJECTION PRINTING AND ELECTROPLATING FOR PHOTORESIST-FREE FORMATION OF METAL FEATURES

      
Numéro d'application 17759673
Statut En instance
Date de dépôt 2021-01-27
Date de la première publication 2023-10-26
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Mayer, Steven T.
  • Thorkelsson, Kari

Abrégé

Methods, inks, apparatus, and systems for forming metal features on semiconductor substrates are provided herein. Advantageously, the techniques herein do not require the use of photoresist, and can be accomplished without many of the processes and apparatuses used in the conventional process flow. Instead, electrohydrodynamic ejection printing is used to deposit an ink that includes an electroplating additive such as accelerator or inhibitor. The printed substrate can then be electroplated in a preferential deposition process that achieves a first deposition rate on areas of the substrate where the ink is present and a second deposition rate on areas of the substrate where the ink is absent, the first and second deposition rates being different from one another. After electroplating, chemical etching may be used to spatially isolate the preferentially grown metal features from one another.

Classes IPC  ?

  • C25D 7/12 - Semi-conducteurs
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • C25D 5/48 - Post-traitement des surfaces revêtues de métaux par voie électrolytique
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • C25D 5/10 - Dépôt de plusieurs couches du même métal ou de métaux différents
  • C25D 5/02 - Dépôt sur des surfaces déterminées
  • C25D 21/12 - Commande ou régulation
  • H01L 21/288 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un liquide, p.ex. dépôt électrolytique
  • C25D 3/38 - Dépôt électrochimique; Bains utilisés à partir de solutions de cuivre

99.

ACCURATE DETERMINATION OF RADIO FREQUENCY POWER THROUGH DIGITAL INVERSION OF SENSOR EFFECTS

      
Numéro d'application 18002733
Statut En instance
Date de dépôt 2021-06-22
Date de la première publication 2023-10-26
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Saurabh, Ashish
  • Leeser, Karl Frederick

Abrégé

An apparatus may include one or more measurement sensors, which may measure power coupled to one or more process stations of the apparatus. The apparatus may additionally include one or more analog-to-digital converters coupled to an output port of a corresponding one of the one or more measurement sensors, which may provide a digital representation of a RF signal measured by the one or more measurement sensors. A processor, coupled to a memory, may determine a crossing of the digital representation of the signal with a reference signal level and may thus determine a frequency content of the RF signal and the characteristic, which may permit the nulling out of phase lag of the one or more measurement sensors.

Classes IPC  ?

  • G01R 23/163 - Analyse de spectre; Analyse de Fourier adaptées à la mesure dans des circuits comportant des constantes réparties
  • G01R 19/252 - Dispositions pour procéder aux mesures de courant ou de tension ou pour en indiquer l'existence ou le signe utilisant une méthode de mesure numérique utilisant des convertisseurs analogiques/numériques du type à conversion de la tension ou du courant en fréquence et mesure de cette fréquence
  • G01R 23/12 - Dispositions pour procéder à la mesure de fréquences, p.ex. taux de répétition d'impulsions; Dispositions pour procéder à la mesure de la période d'un courant ou d'une tension par conversion de la fréquence en déphasage

100.

ANODIZATION FOR METAL MATRIX COMPOSITE SEMICONDUCTOR PROCESSING CHAMBER COMPONENTS

      
Numéro d'application 18011103
Statut En instance
Date de dépôt 2021-08-23
Date de la première publication 2023-10-26
Propriétaire Lam Research Corporation (USA)
Inventeur(s)
  • Das, Debanjan
  • Samulon, Eric
  • Ehrlich, Darrell

Abrégé

A component of a semiconductor processing chamber formed of a metal matrix component having an anodized layer on a surface thereof. The anodized layer comprises an aluminum oxide layer and is formed over an AlSic component. The anodized layer provides the component with protection against corrosion due to plasma processing gases, as the anodized layer provides a protective coating. A layer of aluminum is plated over a surface of the component and the aluminum layer is subsequently anodized to form the protective layer.

Classes IPC  ?

  • H01L 21/683 - Appareils spécialement adaptés pour la manipulation des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide pendant leur fabrication ou leur traitement; Appareils spécialement adaptés pour la manipulation des plaquettes pendant la fabrication ou le traitement des dispositifs à semi-conducteurs ou des dispositifs électriques à l'état solide ou de leurs composants pour le maintien ou la préhension
  • H01J 37/32 - Tubes à décharge en atmosphère gazeuse
  1     2     3     ...     28        Prochaine page