Intel Corporation

États‑Unis d’Amérique

Retour au propriétaire

1-100 de 45 621 pour Intel Corporation et 2 filiales Trier par
Recheche Texte
Excluant les filiales
Affiner par Reset Report
Type PI
        Brevet 45 227
        Marque 394
Juridiction
        États-Unis 29 550
        International 15 836
        Canada 134
        Europe 101
Propriétaire / Filiale
[Owner] Intel Corporation 45 621
Intel IP Corporation 35
Intel Mobile Communications GmbH 8
Date
Nouveautés (dernières 4 semaines) 432
2024 avril (MACJ) 362
2024 mars 226
2024 février 191
2024 janvier 321
Voir plus
Classe IPC
G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions 2 529
H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide 1 848
H04L 29/06 - Commande de la communication; Traitement de la communication caractérisés par un protocole 1 670
G06F 9/38 - Exécution simultanée d'instructions 1 567
H01L 29/66 - Types de dispositifs semi-conducteurs 1 459
Voir plus
Classe NICE
09 - Appareils et instruments scientifiques et électriques 338
42 - Services scientifiques, technologiques et industriels, recherche et conception 115
41 - Éducation, divertissements, activités sportives et culturelles 40
38 - Services de télécommunications 34
35 - Publicité; Affaires commerciales 27
Voir plus
Statut
En Instance 6 818
Enregistré / En vigueur 38 803
  1     2     3     ...     100        Prochaine page

1.

CUSTOMIZED PER-APPLICATION POWER CONFIGURATION AND THERMAL CONFIGURATION ON INFORMATION SYSTEMS PLATFORM

      
Numéro d'application 18537697
Statut En instance
Date de dépôt 2023-12-12
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Thyagaturu, Akhilesh S.
  • Guim Bernat, Francesc
  • Kumar, Karthik
  • Kyle, Jonathan
  • Piotrowski, Marek

Abrégé

A method is claimed. The method includes receiving information associated with a software application's workflow. The method includes receiving information that describes a platform's current power consumption state and current thermal state. The method includes selecting platform components to support execution of the workflow. The method includes prior to execution of the workflow upon the selected platform components, estimating a thermal impact to the platform's current thermal state as a consequence of the workflow's execution upon the selected platform components. The method includes determining a change to be made to a thermal cooling system of the platform in response to the estimating and causing the change to be made to the thermal cooling system prior to execution of at least a portion of the workflow on the platform.

Classes IPC  ?

  • G06F 1/20 - Moyens de refroidissement
  • G06F 9/455 - Dispositions pour exécuter des programmes spécifiques Émulation; Interprétation; Simulation de logiciel, p.ex. virtualisation ou émulation des moteurs d’exécution d’applications ou de systèmes d’exploitation

2.

LOCAL OSCILLATOR (LO) GENERATION FOR CARRIER AGGREGATION IN PHASED ARRAY FRONT ENDS

      
Numéro d'application 18401893
Statut En instance
Date de dépôt 2024-01-02
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Ravi, Ashoke
  • Jann, Benjamin
  • Patnaik, Satwik

Abrégé

Techniques are described related to digital radio control and operation. The various techniques described herein enable high-frequency local oscillator (LO) signal generation using injection locked cock multipliers (ILCMs). The techniques also include the use of LO signals for carrier aggregation applications for phased array front ends. Furthermore, the disclosed techniques include the use of array element-level control using per-chain DC-DC converters. Still further, the disclosed techniques include the use of adaptive spatial filtering and optimal combining of analog-to-digital converters (ADCs) to maximize dynamic range in digital beamforming systems.

Classes IPC  ?

  • H04B 1/04 - Circuits
  • G01R 27/06 - Mesure des coefficients de réflexion; Mesure du rapport d'ondes stationnaires
  • H01Q 3/40 - Dispositifs pour changer ou faire varier l'orientation ou la forme du diagramme de directivité des ondes rayonnées par une antenne ou un système d'antenne faisant varier la distribution de l’énergie à travers une ouverture rayonnante faisant varier la phase par des moyens électriques avec une matrice faisant varier l'angle de déphasage
  • H03L 7/081 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase avec un déphaseur commandé additionnel
  • H03L 7/24 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence directement appliqué au générateur

3.

PRIVACY-ENHANCED SENSOR DATA EXCHANGE SYSTEM

      
Numéro d'application 18400770
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s) Vaughn, Robert

Abrégé

A system for privacy-enhanced sensor data exchange, including: a communication interface operable to receive sensor data related to surroundings of a sensor associated with an ego device; processor circuitry operable to: evaluate the sensor data for a privacy-sensitive attribute of the sensor data, wherein the sensor data is under privacy control of the ego device; filter the sensor data by decreasing a precision of a portion of the sensor data related to the privacy-sensitive attribute; and generate data packets based on the sensor data, formatted to enable discovery by an interested entity device.

Classes IPC  ?

4.

COPPER FILL FOR HEAT MANAGEMENT IN INTEGRATED CIRCUIT DEVICE

      
Numéro d'application 17972923
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Lim, Min Suet
  • Kamgaing, Telesphor
  • Ronen, Ilan
  • Nagarajan, Kavitha
  • Yoon, Chee Kheong
  • Lim, Chu Aun
  • Goh, Eng Huat
  • Wong, Jooi Wah

Abrégé

Described herein are integrated circuit devices that include semiconductor devices near the center of the device, rather than towards the top or bottom of the device. In this arrangement, heat can become trapped inside the device. Metal fill, such as copper, is formed within a portion of the device, e.g., over the semiconductor devices and any front side interconnect structures, to transfer heat away from the semiconductor devices and towards a heat spreader.

Classes IPC  ?

  • H01L 23/367 - Refroidissement facilité par la forme du dispositif
  • H01L 23/42 - Choix ou disposition de matériaux de remplissage ou de pièces auxiliaires dans le conteneur pour faciliter le chauffage ou le refroidissement
  • H01L 23/532 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées caractérisées par les matériaux

5.

STRIPPED REDISTRUBUTION-LAYER FABRICATION FOR PACKAGE-TOP EMBEDDED MULTI-DIE INTERCONNECT BRIDGE

      
Numéro d'application 18400784
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Sir, Jiun Hann
  • Khoo, Poh Boon
  • Goh, Eng Huat
  • Alur, Amruthavalli Pallavi
  • Mallik, Debendra

Abrégé

An embedded multi-die interconnect bridge (EMIB) is fabricated on a substrate using photolithographic techniques, and the EMIB is separated from the substrate and placed on the penultimate layer of an integrated-circuit package substrate, below the top solder-resist layer. A low Z-height of the EMIB, allows for useful trace and via real estate below the EMIB, to be employed in the package substrate.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide

6.

SYSTEM AND METHODS FOR CLOSED LOOP DOPPLER TRACKING IN INTER-SATELLITE LINKS

      
Numéro d'application 17972965
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Krishnamurthy, Sundar
  • O'Keeffe, Conor
  • Dasalukunte, Deepak
  • O'Regan, Finbarr
  • Vinod, Abhinav

Abrégé

An apparatus can include transceiver circuitry to receive an input signal from a target apparatus. The apparatus can further include a processing circuitry to determine position information of a source object and a target object. Based on the position information, the processing circuitry can calculate a relative velocity and determine a Doppler shift or carrier frequency offset in the input signal based on the relative velocity. The processing circuitry can adjust a local oscillator frequency based on a Doppler measured using the position information in an initial link acquisition phase. The processing circuitry can track the Doppler continuously over a range of tens of gigahertz accounting for Doppler phase ambiguities, and correct for a tracked Doppler shift by partially adjusting a local oscillator frequency and by correcting a residual Doppler shift digitally.

Classes IPC  ?

7.

INTEGRATED INDUCTOR OVER TRANSISTOR LAYER

      
Numéro d'application 17972975
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Lim, Min Suet
  • Kamgaing, Telesphor
  • Yoon, Chee Kheong
  • Lim, Chu Aun
  • Goh, Eng Huat
  • Wong, Jooi Wah
  • Nagarajan, Kavitha

Abrégé

Described herein are integrated circuit devices that include semiconductor devices near the center of the device, rather than towards the top or bottom of the device, and integrated inductors formed over the semiconductor devices. Power delivery to the device is on the opposite side of the semiconductor devices. The integrated inductors may be used for power step-down to reduce device thickness and/or a number of power rails.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 49/02 - Dispositifs à film mince ou à film épais

8.

AFFORDANCE-AWARE, MULTI-RESOLUTION, FREE-FORM OBJECT MANIPULATION PLANNING

      
Numéro d'application 18542305
Statut En instance
Date de dépôt 2023-12-15
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Gonzalez Aguirre, David Israel
  • Felip Leon, Javier
  • Turek, Javier Sebastian
  • Perez-Ramirez, Javier
  • Alvarez, Ignacio J.

Abrégé

Systems, apparatuses and methods may provide for controlling one or more end effectors by generating a semantic labelled image based on image data, wherein the semantic labelled image is to identify a shape of an object and a semantic label of the object, associating a first set of actions with the object, and generating a plan based on an intersection of the first set of actions and a second set of actions to satisfy a command from a user through actuation of one or more end effectors, wherein the second set of actions are to be associated with the command

Classes IPC  ?

9.

BROADCAST ASYNCHRONOUS LOADS TO SHARED LOCAL MEMORY

      
Numéro d'application 17973203
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wiegert, John A.
  • Ray, Joydeep
  • Ranganathan, Vasanth
  • George, Biju
  • Fu, Fangwen
  • Appu, Abhishek R.
  • Mei, Chunhui
  • Rhee, Changwon

Abrégé

Embodiments described herein provide a technique to facilitate the broadcast or multicast of asynchronous loads to shared local memory of a plurality of graphics cores within a graphics core cluster. One embodiment provides a graphics processor including a cache memory a graphics core cluster coupled with the cache memory. The graphics core cluster includes a plurality of graphics cores. The plurality of graphics cores includes a graphics core configured to receive a designation as a producer graphics core for a multicast load, read data from the cache memory; and transmit the data read from the cache memory to a consumer graphics core of the plurality of graphics cores.

Classes IPC  ?

  • G06F 12/0855 - Accès de mémoire cache en chevauchement, p.ex. pipeline

10.

VIRTUAL ADDRESS ACCESS TO GPU SURFACE AND SAMPLER STATES

      
Numéro d'application 17971290
Statut En instance
Date de dépôt 2022-10-20
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Ray, Joydeep
  • Apodaca, Michael
  • Harel, Yoav
  • Lueh, Guei-Yuan
  • Wiegert, John A.

Abrégé

Embodiments described herein provide a technique to enable access to entries in a surface state or sampler state using 64-bit virtual addresses. One embodiment provides a graphics core that includes memory access circuitry configured to facilitate access to the memory by functional units of the graphics core. The memory access circuitry is configured to receive a message to access an entry in a surface state or a sampler state associated with a parallel processing operation. The message specifies a base address for a surface state entry or sampler state entry. The circuitry can add the base address and the offset to determine a 64-bit virtual address for the entry in the surface state entry or the sampler state and submit a memory access request to the memory to access the entry of the surface state or sampler state.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement
  • G06T 1/60 - Gestion de mémoire

11.

COMPENSATING FOR HIGH HEAD MOVEMENT IN HEAD-MOUNTED DISPLAYS

      
Numéro d'application 18497136
Statut En instance
Date de dépôt 2023-10-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Babu, Ravindra A.
  • Ms, Sashank
  • Musunuri, Satyanantha R.
  • Pawar, Sagar C.
  • Kaipa, Kalyan K.
  • Balakrishnan, Vijayakumar
  • Kp, Sameer

Abrégé

When the speed of head movement exceeds the processing capability of the system, a reduced depiction is displayed. As one example, the resolution may be reduced using coarse pixel shading in order to create a new depiction at the speed of head movement. In accordance with another embodiment, only the region the user is looking at is processed in full resolution and the remainder of the depiction is processed at lower resolution. In still another embodiment, the background depictions may be blurred or grayed out to reduce processing time.

Classes IPC  ?

  • G06F 3/01 - Dispositions d'entrée ou dispositions d'entrée et de sortie combinées pour l'interaction entre l'utilisateur et le calculateur
  • G02B 27/01 - Dispositifs d'affichage "tête haute"
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline

12.

NO MOLD SHELF PACKAGE DESIGN AND PROCESS FLOW FOR ADVANCED PACKAGE ARCHITECTURES

      
Numéro d'application 18399189
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Li, Wei
  • Cetegen, Edvin
  • Haehn, Nicholas S.
  • Viswanath, Ram S.
  • Neal, Nicholas
  • Modi, Mitul

Abrégé

Embodiments include semiconductor packages and a method to form such semiconductor packages. A semiconductor package includes a plurality of dies on a substrate, and an encapsulation layer over the substrate. The encapsulation layer surrounds the dies. The semiconductor package also includes a plurality of dummy silicon regions on the substrate. The dummy silicon regions surround the dies and encapsulation layer. The plurality of dummy silicon regions are positioned on two or more edges of the substrate. The dummy silicon regions have a top surface substantially coplanar to a top surface of the dies. The dummy silicon regions include materials that include silicon, metals, or highly-thermal conductive materials. The materials have a thermal conductivity of approximately 120 W/mK or greater, or is equal to or greater than the thermal conductivity of silicon. An underfill layer surrounds the substrate and the dies, where the encapsulation layer surrounds portions of the underfill layer.

Classes IPC  ?

  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • H01L 21/78 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/498 - Connexions électriques sur des substrats isolants

13.

ADAPTIVE AMBIENT LISTENING FOR AUDIO SYSTEMS

      
Numéro d'application 17971931
Statut En instance
Date de dépôt 2022-10-23
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Haggai, Oren
  • Kamhi, Gila
  • Markovich Golan, Shmuel
  • Perlman, Shuki
  • Desai, Prasanna

Abrégé

An apparatus can include at least one audio device configured to detect sound. The apparatus can further include processing circuitry to determine presence of a relevant sound relevant to a user of the apparatus based on a user preference or an audio device parameter. The processing circuitry can further, responsive to detecting presence of the relevant sound, provide a control command to a user listening device to command the user listening device to provide the relevant sound to a microphone of the user listening device.

Classes IPC  ?

14.

DATA-CENTRIC SERVICE-BASED NETWORK ARCHITECTURE

      
Numéro d'application 18399314
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wu, Geng
  • Ruan, Leifeng
  • Li, Qian
  • Ying, Dawei

Abrégé

A data-centric network and non-Real-Time (RT) RAN Intelligence Controller (RIC) architecture are described. The data-centric network architecture provides data plane functions (DPFs) that serve as a shared database for control functions, user functions and management functions for data plane resources in a network. The DPFs interact with control plane functions, user plane functions, management plane functions, compute plane functions, network exposure functions, and application functions of the NR network via a service interface. The non-RT RIC provides functions via rApps, manages the rApps, performs conflict mitigation and security functions, monitors machine learning (ML) performance, provides a ML model catalog that contains ML model information, provides interface terminations and stores ML data and Near-RT RIC related information in a database. An ML training host trains and evaluates ML models in the catalog, obtains training and testing data from the database, and retrains and updates the ML models.

Classes IPC  ?

  • H04L 41/16 - Dispositions pour la maintenance, l’administration ou la gestion des réseaux de commutation de données, p.ex. des réseaux de commutation de paquets en utilisant l'apprentissage automatique ou l'intelligence artificielle
  • G06F 18/214 - Génération de motifs d'entraînement; Procédés de Bootstrapping, p.ex. ”bagging” ou ”boosting”
  • H04L 47/70 - Contrôle d'admission; Allocation des ressources
  • H04L 47/762 - Contrôle d'admission; Allocation des ressources en utilisant l'allocation dynamique des ressources, p.ex. renégociation en cours d'appel sur requête de l'utilisateur ou sur requête du réseau en réponse à des changements dans les conditions du réseau déclenchée par le réseau
  • H04L 47/783 - Allocation distribuée des ressources, p.ex. courtiers en bande passante

15.

ADJUSTING WORKLOAD EXECUTION BASED ON WORKLOAD SIMILARITY

      
Numéro d'application 18538852
Statut En instance
Date de dépôt 2023-12-13
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Hasabnis, Niranjan
  • Mwove, Patricia
  • Chan, Ellick
  • Mebratu, Derssie
  • Doshi, Kshitij
  • Hossain, Mohammad
  • Chaudhary, Gaurav

Abrégé

Adjusting workload execution based on workload similarity. A processor may determine a similarity of a first workload to a second workload. The processor may adjust execution of the first workload based on execution parameters of the second workload and the similarity of the first workload to the second workload.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]

16.

DATA TRANSFER ENCRYPTION MECHANISM

      
Numéro d'application 17968989
Statut En instance
Date de dépôt 2022-10-18
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Chrapek, Marcin Andrzej
  • Lal, Reshma

Abrégé

An apparatus comprising translator circuitry to receive a plurality of physical addresses of memory data, determine an offset associated with each of the physical page addresses and apply a tweak seed to each offset to generate a plurality of tweaks.

Classes IPC  ?

  • G06F 12/1027 - Traduction d'adresses utilisant des moyens de traduction d’adresse associatifs ou pseudo-associatifs, p.ex. un répertoire de pages actives [TLB]
  • G06F 12/0882 - Mode de page
  • G06F 12/14 - Protection contre l'utilisation non autorisée de mémoire

17.

METHODS AND APPARATUS FOR SPARSE TENSOR STORAGE FOR NEURAL NETWORK ACCELERATORS

      
Numéro d'application 18539955
Statut En instance
Date de dépôt 2023-12-14
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Grymel, Martin-Thomas
  • Bernard, David
  • Hanrahan, Niall
  • Power, Martin
  • Brady, Kevin
  • Baugh, Gary
  • Brick, Cormac

Abrégé

Methods, apparatus, systems and articles of manufacture are disclosed for sparse tensor storage for neural network accelerators. An example apparatus includes sparsity map generating circuitry to generate a sparsity map corresponding to a tensor, the sparsity map to indicate whether a data point of the tensor is zero, static storage controlling circuitry to divide the tensor into one or more storage elements, and a compressor to perform a first compression of the one or more storage elements to generate one or more compressed storage elements, the first compression to remove zero points of the one or more storage elements based on the sparsity map and perform a second compression of the one or more compressed storage elements, the second compression to store the one or more compressed storage elements contiguously in memory.

Classes IPC  ?

  • G06F 12/02 - Adressage ou affectation; Réadressage
  • G06N 3/10 - Interfaces, langages de programmation ou boîtes à outils de développement logiciel, p.ex. pour la simulation de réseaux neuronaux

18.

HARDWARE ASSISTED MEMORY ACCESS TRACKING

      
Numéro d'application 18279029
Statut En instance
Date de dépôt 2021-03-24
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kumar, Sanjay
  • Lantz, Phillip
  • Sankaran, Rajesh
  • Hansen, David
  • Voevodin, Evgeny V.
  • Anderson, Andrew
  • You, Lizhen
  • Zhou, Xin
  • Talpallikar, Nikhil

Abrégé

An embodiment of an integrated circuit may comprise an array of hardware counters, and circuitry communicatively coupled to the array of hardware counters, the circuitry to count accesses to one or more selected pages of a memory with the array of hardware counters. Other embodiments are disclosed and claimed.

Classes IPC  ?

  • G06F 12/1009 - Traduction d'adresses avec tables de pages, p.ex. structures de table de page

19.

IC DIE AND HEAT SPREADERS WITH SOLDERABLE THERMAL INTERFACE STRUCTURES FOR MULTI-CHIP ASSEMBLIES INCLUDING SOLDER ARRAY THERMAL INTERCONNECTS

      
Numéro d'application 18395351
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Mallik, Debendra
  • Chang, Je-Young
  • Viswanath, Ram
  • Bozorg-Grayeli, Elah
  • Al Mohammad, Ahmad

Abrégé

Thermal heat spreaders and/or an IC die with solderable thermal structures may be assembled together with a solder array thermal interconnects. A thermal heat spreader may include a non-metallic material and one or more metallized surfaces suitable for bonding to a solder alloy employed as thermal interface material between the heat spreader and an IC die. An IC die may include a metallized back-side surface similarly suitable for bonding to a thermal interconnect comprising a solder alloy. Metallization on the IC die and/or heat spreader may comprise a plurality of solderable structures. A multi-chip package may include multiple IC die having different die thickness that are accommodated by a z-height thickness variation in the thermal interconnects and/or the solderable structures of the IC die or heat spreader.

Classes IPC  ?

  • H01L 23/367 - Refroidissement facilité par la forme du dispositif
  • H01L 23/373 - Refroidissement facilité par l'emploi de matériaux particuliers pour le dispositif
  • H01L 23/495 - Cadres conducteurs

20.

MICROELECTRONIC ASSEMBLIES

      
Numéro d'application 18403545
Statut En instance
Date de dépôt 2024-01-03
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Liff, Shawna M.
  • Elsherbini, Adel A.
  • Swan, Johanna M.
  • Chandrasekhar, Arun

Abrégé

Microelectronic assemblies, and related devices and methods, are disclosed herein. For example, in some embodiments, a microelectronic assembly may include a package substrate having a first surface and an opposing second surface, and a die secured to the package substrate, wherein the die has a first surface and an opposing second surface, the die has first conductive contacts at the first surface and second conductive contacts at the second surface, and the first conductive contacts are coupled to conductive pathways in the package substrate by first non-solder interconnects.

Classes IPC  ?

  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,

21.

TOP GATE RECESSED CHANNEL CMOS THIN FILM TRANSISTOR AND METHODS OF FABRICATION

      
Numéro d'application 18395192
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Dewey, Gilbert
  • Keech, Ryan
  • Bomberger, Cory
  • Huang, Cheng-Ying
  • Agrawal, Ashish
  • Rachmady, Willy
  • Murthy, Anand

Abrégé

A device includes a device level having a metallization structure coupled to a semiconductor device and a transistor above the device level. The transistor has a body including a single crystal group III-V or group IV semiconductor material, a source structure on a first portion of the body and a drain structure on a second portion of the body, where the source structure is separate from the drain structure. The transistor further includes a gate structure including a first gate structure portion in a recess in the body and a second gate structure portion between the source structure and the drain structure. A source contact is coupled with the source structure and a drain contact is coupled with the drain structure. The source contact is in contact with the metallization structure in the device level.

Classes IPC  ?

  • H01L 23/522 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre comprenant des interconnexions externes formées d'une structure multicouche de couches conductrices et isolantes inséparables du corps semi-conducteur sur lequel elles ont été déposées
  • H01L 21/762 - Régions diélectriques
  • H01L 21/768 - Fixation d'interconnexions servant à conduire le courant entre des composants distincts à l'intérieur du dispositif
  • H01L 27/12 - Dispositifs consistant en une pluralité de composants semi-conducteurs ou d'autres composants à l'état solide formés dans ou sur un substrat commun comprenant des éléments de circuit passif intégrés avec au moins une barrière de potentiel ou une barrière de surface le substrat étant autre qu'un corps semi-conducteur, p.ex. un corps isolant

22.

TRIGGER-BASED PPDU RESOURCE INDICATION FOR EHT NETWORKS

      
Numéro d'application 18239883
Statut En instance
Date de dépôt 2023-08-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Chen, Xiaogang
  • Li, Qinghua
  • Jiang, Feng
  • Avital, Ziv
  • Huang, Po-Kai

Abrégé

An extremely high throughput (EHT) station (STA) configured for trigger based (TB) transmission may decode an trigger frame (TF) received from an access point (AP). The TF may include an assignment of resources comprising one or more 20 MHz channels. The EHT STA may determine which of the one or more assigned channels are available for transmission and which of the allocated channels are unavailable when the EHT STA is assigned more than one 20 MHz channel. The EHT STA may encode a EHT TB PPDU in response to the trigger frame. The EHT TB PPDU may be encoded to include an EHT preamble followed by a data field. The EHT preamble may be encoded to indicate channel availability. The EHT STA may generate signalling to cause the EHT STA to transmit the encoded EHT TB PPDU only on the assigned channels that have been determined to be available.

Classes IPC  ?

  • H04W 74/00 - Accès au canal sans fil, p.ex. accès planifié, accès aléatoire
  • G06F 11/10 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p.ex. en utilisant des codes de contrôle en ajoutant des chiffres binaires ou des symboles particuliers aux données exprimées suivant un code, p.ex. contrôle de parité, exclusion des 9 ou des 11
  • H04W 72/0446 - Ressources du domaine temporel, p.ex. créneaux ou trames
  • H04W 72/0453 - Ressources du domaine fréquentiel, p.ex. porteuses dans des AMDF [FDMA]
  • H04W 72/20 - Canaux de commande ou signalisation pour la gestion des ressources
  • H04W 72/54 - Critères d’affectation ou de planification des ressources sans fil sur la base de critères de qualité
  • H04W 84/12 - Réseaux locaux sans fil [WLAN Wireless Local Area Network]

23.

MECHANISM TO ENABLE ALIGNED CHANNEL ACCESS

      
Numéro d'application 18401353
Statut En instance
Date de dépôt 2023-12-30
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Cariou, Laurent
  • Das, Dibakar
  • Akhmetov, Dmitry

Abrégé

This disclosure describes systems, methods, and devices related to aligned channel access. A device may perform a first backoff countdown on a first link associated with a first station device (STA) of the device, wherein the device is a multi-link device (MLD). The device may detect a second backoff countdown associated with a second STA of the MLD after the first backoff countdown reaches zero. The device may determine to hold the first backoff countdown at zero based on the value of the second backoff countdown. The device may transmit in synchronization on the first link and on the second link from the first STA and the second STA respectively based on holding the first backoff countdown at zero.

Classes IPC  ?

  • H04W 74/0816 - avec évitement de collision
  • H04W 74/00 - Accès au canal sans fil, p.ex. accès planifié, accès aléatoire
  • H04W 74/08 - Accès non planifié, p.ex. accès aléatoire, ALOHA ou accès multiple par détection de porteuse [CSMA Carrier Sense Multiple Access]

24.

CONSTANT MODULO VIA RECIRCULANT REDUCTION

      
Numéro d'application 18396423
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Drane, Theo
  • Poole, Christopher Louis
  • Zorn, William
  • Morini, Emiliano

Abrégé

Described herein is a generalized optimal reduction scheme for reducing an array modulo a constant. The constant modulo operation calculates a result for array of bits xi, width n modulo an odd positive integer constant d, (e.g., x[n:0] mod d). Circuitry to perform such operation can be configured to compress the array of bits xi, width n into an array of bits yi width m. The techniques described herein enable the design of optimal circuitry via iterative exploration of all potential reduction strategies that are available given the input constraints.

Classes IPC  ?

  • G06F 7/501 - Semi-additionneurs ou additionneurs complets, c. à d. cellules élémentaires d'addition pour une position
  • G06F 7/505 - Addition; Soustraction en mode parallèle binaire, c. à d. ayant un circuit de maniement de chiffre différent pour chaque position
  • G06F 7/76 - Dispositions pour le réagencement, la permutation ou la sélection de données selon des règles prédéterminées, indépendamment du contenu des données

25.

CONSTANT DIVISION AND MODULO VIA CARRYSAVE MODULO REDUCTION

      
Numéro d'application 18396437
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Drane, Theo
  • Poole, Christopher Louis
  • Zorn, William
  • Morini, Emiliano

Abrégé

The techniques described in the detailed description above enable the manufacturing of circuits with increased performance and efficiency when performing division by a constant number. One embodiment provides circuitry including an input circuit to receive an input value including a plurality of bits, a logarithmic tree coupled with the input circuit, the logarithmic tree configured to compute an array of values based on a plurality of multi-bit groups of the plurality of bits of the input value, each value in the array of values includes a modulus of a corresponding multi-bit group with respect to the constant, a binary array adder to compute a quotient of the division operation based on the array of values, the input value, and the constant, and an output circuit to output the quotient.

Classes IPC  ?

  • G06F 7/499 - Maniement de valeur ou d'exception, p.ex. arrondi ou dépassement
  • G06F 7/556 - Méthodes ou dispositions pour effectuer des calculs en utilisant exclusivement une représentation numérique codée, p.ex. en utilisant une représentation binaire, ternaire, décimale utilisant des dispositifs non spécifiés pour l'évaluation de fonctions par calcul de fonctions logarithmiques ou exponentielles
  • G06N 3/0464 - Réseaux convolutifs [CNN, ConvNet]
  • G06N 3/08 - Méthodes d'apprentissage

26.

APPLICATION CONTROL OF POWER CONFIGURATION AND THERMAL CONFIGURATION OF INFORMATION SYSTEMS PLATFORM

      
Numéro d'application 18537703
Statut En instance
Date de dépôt 2023-12-12
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Thyagaturu, Akhilesh S.
  • Guim Bernat, Francesc
  • Kumar, Karthik
  • Hoban, Adrian
  • Piotrowski, Marek

Abrégé

A method is described. The method includes invoking one of more functions from a set of API functions that expose the current respective cooling states of different, respective cooling devices for different components of a hardware platform. The method includes orchestrating concurrent execution of multiple applications on the hardware platform in view of the current respective cooling states. The method includes, in order to prepare the hardware platform for the concurrent execution of the multiple applications, prior to the concurrent execution of the multiple applications, sending one or more commands to the hardware platform to change a cooling state of at least one of the cooling devices.

Classes IPC  ?

27.

SYSTEMS, METHODS, AND APPARATUSES FOR MATRIX ADD, SUBTRACT, AND MULTIPLY

      
Numéro d'application 18400961
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Valentine, Robert
  • Baum, Dan
  • Sperber, Zeev
  • Corbal, Jesus
  • Ould-Ahmed-Vall, Elmoustapha
  • Toll, Bret L.
  • Charney, Mark J.
  • Ziv, Barukh
  • Heinecke, Alexander
  • Girkar, Milind
  • Rubanovich, Simon

Abrégé

Embodiments detailed herein relate to matrix operations. In particular, support for matrix (tile) addition, subtraction, and multiplication is described. For example, circuitry to support instructions for element-by-element matrix (tile) addition, subtraction, and multiplication are detailed. In some embodiments, for matrix (tile) addition, decode circuitry is to decode an instruction having fields for an opcode, a first source matrix operand identifier, a second source matrix operand identifier, and a destination matrix operand identifier; and execution circuitry is to execute the decoded instruction to, for each data element position of the identified first source matrix operand: add a first data value at that data element position to a second data value at a corresponding data element position of the identified second source matrix operand, and store a result of the addition into a corresponding data element position of the identified destination matrix operand.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 7/485 - Addition; Soustraction
  • G06F 7/487 - Multiplication; Division
  • G06F 7/76 - Dispositions pour le réagencement, la permutation ou la sélection de données selon des règles prédéterminées, indépendamment du contenu des données
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 17/16 - Calcul de matrice ou de vecteur

28.

ELECTRONIC PACKAGE WITH INTEGRATED INTERCONNECT STRUCTURE

      
Numéro d'application 17968830
Statut En instance
Date de dépôt 2022-10-18
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Cheah, Bok Eng
  • Lim, Seok Ling
  • Ong, Jenny Shio Yin
  • Kong, Jackson Chung Peng
  • Ooi, Kooi Chi

Abrégé

A device is provided, including a package substrate including at least one opening extending through the package substrate, and an interconnect structure including a first segment and a second segment. The first segment may extend under a bottom surface of the package substrate and may further extend beyond a footprint of the package substrate. The second segment may extend vertically from the first segment and may extend at least partially through the at least one opening of the package substrate.

Classes IPC  ?

  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 23/64 - Dispositions relatives à l'impédance
  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 25/16 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types couverts par plusieurs des groupes principaux , ou dans une seule sous-classe de , , p.ex. circuit hybrides
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,

29.

USER EQUIPMENT CAPABILITY FOR INTERRUPTIONS WITHOUT MEASUREMENT GAPS

      
Numéro d'application US2023035276
Numéro de publication 2024/086135
Statut Délivré - en vigueur
Date de dépôt 2023-10-17
Date de publication 2024-04-25
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Huang, Rui
  • Zhang, Meng
  • Li, Hua
  • Burbidge, Richard
  • Hwang, In-Seok

Abrégé

Embodiments attempt to solve challenges in a wireless communications system. Embodiments describe various techniques, systems, and devices to support various measurement criteria for user equipment in a 3GPP 5G NR or 6G system, among other wireless communications systems. Other embodiments are described and claimed.

Classes IPC  ?

  • H04W 8/24 - Transfert des données du terminal
  • H04W 24/08 - Réalisation de tests en trafic réel
  • H04W 24/10 - Planification des comptes-rendus de mesures
  • H04W 56/00 - Dispositions de synchronisation
  • H04B 17/24 - Surveillance; Tests de récepteurs avec rétroaction des mesures vers l’émetteur

30.

MICROSERVICE DEPLOYMENTS USING ACCELERATORS

      
Numéro d'application US2023032000
Numéro de publication 2024/085969
Statut Délivré - en vigueur
Date de dépôt 2023-09-05
Date de publication 2024-04-25
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Mullick, Kelley
  • Ganguli, Mrittika
  • Johnson, Brian P.
  • Adiletta, Matthew J.

Abrégé

Examples described herein relate to circuitry to perform load balancing; at least one memory; and at least one processor. In some examples, at least one processor is to execute instructions stored in the at least one memory that cause the at least one processor to: execute a communication proxy that is to allocate packet data to the circuitry to perform load balancing to allocate workloads among cores and allocate received and transmitted remote procedure calls to at least one queue in circuitry to queue one or more packets.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 9/54 - Communication interprogramme

31.

MICROELECTRONIC STRUCTURES INCLUDING BRIDGES

      
Numéro d'application 18400761
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Karhade, Omkar G.
  • Cetegen, Edvin
  • Tripathi, Anurag
  • Deshpande, Nitin A.

Abrégé

Disclosed herein are microelectronic structures including bridges, as well as related assemblies and methods. In some embodiments, a microelectronic structure may include a substrate and a bridge.

Classes IPC  ?

  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition

32.

ADAPTIVE CLOCK GATING FOR IMPROVING WEAR OUT-INDUCED DUTY CYCLE SHIFT IN COMPUTER CLOCK NETWORK

      
Numéro d'application 17971619
Statut En instance
Date de dépôt 2022-10-22
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Cho, Minki
  • Gill, Balkaran
  • Rahman, Anisur
  • Sutaria, Ketul B.

Abrégé

This disclosure describes systems, methods, and devices related to clock gating. A device may detect that gating of a local clock of a computer core is enabled; detect, based on the detection that the gating is enabled, that a clock gating condition for the local clock is satisfied; and set a clock gating polarity of the local clock based on the detection that the clock gating condition for the local clock is satisfied.

Classes IPC  ?

  • H03K 17/14 - Modifications pour compenser les variations de valeurs physiques, p.ex. de la température
  • G06F 1/08 - Générateurs d'horloge ayant une fréquence de base modifiable ou programmable

33.

MULTI-CORE PROCESSOR FREQUENCY LIMIT DETERMINATION

      
Numéro d'application 17969524
Statut En instance
Date de dépôt 2022-10-18
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Babajani, Yoav
  • Abu Salah, Hisham
  • Shulman, Nadav
  • Misgav, Nir
  • Gihon, Arik

Abrégé

Embodiments herein relate to a technique to be performed by a power control unit (PCU) of an electronic device. Specifically, the PCU may identify, based on a metric related to an activity level of a processor core of a multi-core processor of the electronic device, first, second, and third weights that are respectively related to first, second, and third cores of the multi-core processor. Based on these weights, the PCU may identify a number of active processor cores of the multi-core processor, and alter a frequency limit of the multi-core processor accordingly. Other embodiments may be described and claimed.

Classes IPC  ?

  • G06F 1/324 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par réduction de la fréquence d’horloge
  • G06F 1/3206 - Surveillance d’événements, de dispositifs ou de paramètres initiant un changement de mode d’alimentation

34.

SUPER-OPTIMIZATION EXPLORER USING E-GRAPH REWRITING FOR HIGH-LEVEL SYNTHESIS

      
Numéro d'application 18396335
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Cheng, Jianyi
  • Coward, Samuel
  • Chelini, Lorenzo
  • Barbalho, Rafael
  • Drane, Theo

Abrégé

Described herein is a technique for automatic program code optimization for high-level synthesis. One embodiment provides a method comprising receiving input including first program code in a high-level language; translating the first program code into an intermediate language; constructing an equality graph (e-graph) from the intermediate language; interleaving control-flow, data path, and gate-level transformations to explore equivalent hardware designs represented by the e-graph; selecting a hardware design based on a cost function; extracting a representation of a selected hardware design in the intermediate language; generating second program code in the high-level language; and performing high-level synthesis using the second program code.

Classes IPC  ?

  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]

35.

TECHNIQUES FOR A MEMORY MODULE PER ROW ACTIVATE COUNTER

      
Numéro d'application 18401428
Statut En instance
Date de dépôt 2023-12-30
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Vergis, George
  • Tomishima, Shigeki

Abrégé

Examples include techniques for a memory module per row activate counter. The techniques include detecting a row hammer or row disturb condition for a row address at a volatile memory device if an activate count to the row address matches a threshold count. The activate count is maintained by a controller for the memory module. Detection of the row hammer or row disturb condition can cause refresh management actions to mitigate the row hammer or row disturb condition.

Classes IPC  ?

  • G06F 21/56 - Détection ou gestion de programmes malveillants, p.ex. dispositions anti-virus
  • G06F 21/55 - Détection d’intrusion locale ou mise en œuvre de contre-mesures

36.

ENHANCED SIGNALING OF ADDITION AND DELETION OF COMMUNICATION LINKS FOR MULTI-LINK DEVICES

      
Numéro d'application 18401263
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Huang, Po-Kai
  • Ouzieli, Ido
  • Alexander, Danny
  • Bravo, Daniel
  • Cariou, Laurent

Abrégé

This disclosure describes systems, methods, and devices related to adding or removing communication access points (APs) affiliated with an associated AP multi-link device (AP-MLD). A non-AP-MLD may identify a communication link between the non-AP-MLD and an AP-MLD, the communication link previously used by the non-AP-MLD; encode a request frame comprising a multi-link reconfiguration element indicative of a request to add or remove the communication link; cause the non-AP-MLD to transmit the request frame to the AP-MLD; and identify a response frame received from the AP-MLD, the response frame comprising the multi-link reconfiguration element and indicating whether the communication link was accepted or rejected to be added or removed.

Classes IPC  ?

  • H04W 76/15 - Gestion de la connexion Établissement de la connexion Établissement de connexions à liens multiples sans fil
  • H04W 76/30 - Libération de la connexion

37.

TECHNOLOGIES FOR SCHEDULING TIME SENSITIVE CYCLICAL NETWORK TRAFFIC IN REAL-TIME

      
Numéro d'application 18400133
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kumar, Anil
  • Mungara, Subba

Abrégé

Technologies for scheduling time-sensitive cyclical network traffic in real-time include an internet-of-things (IoT) device that includes at least one sensor for collecting sensor data. The IoT device is configured to store the collected sensor data in a data buffer, allocate a packet descriptor for the sensor data, and populate the allocated packet descriptor with a cyclic data port pointer indicative of a location of the data buffer. The IoT device is additionally configured to queue the packet descriptor into a media access control (MAC) unit transmit direct memory access (DMA) of the IoT device, fetch the sensor data, and packetize the fetched data to form a network packet. Further, the IoT device is configured to transmit the network packet to a target computing device based on a launch time, update the launch time, and requeue the packet descriptor into the MAC unit transmit DMA. Other embodiments are described herein.

Classes IPC  ?

  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 9/445 - Chargement ou démarrage de programme
  • H04L 47/60 - Ordonnancement des files d’attente en implémentant un ordonnancement hiérarchique
  • H04W 72/121 - Planification du trafic sans fil pour les groupes de terminaux ou d’utilisateurs

38.

CHANNEL SOUNDING FOR WIRELESS LOCAL AREA NETWORK SENSING

      
Numéro d'application 18401236
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Da Silva, Claudio
  • Chen, Cheng
  • Sadeghi, Bahareh
  • Cordeiro, Carlos

Abrégé

This disclosure describes systems, methods, and devices related to WLAN sensing sounding. A device may identify a sensing null data packet (NDP) request frame received from a second device, the sensing NDP request frame associated with performing a wireless local area network channel sounding procedure; identify transmit parameters included in a transmit control field of the sensing NDP request frame; generate an NDP frame using the transmit parameters; and send, in response to the sensing NDP request frame, the NDP frame to the second device.

Classes IPC  ?

  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission
  • H04W 72/044 - Affectation de ressources sans fil sur la base du type de ressources affectées

39.

LOCALIZED IR DROP DETECTION AND CALIBRATION SCHEME TO CREATE HIGH ACCURACY VOLTAGE SUPPLY ACROSS PHYSICAL CIRCUIT PARTITIONS FOR PERFORMANCE GAIN

      
Numéro d'application 17972360
Statut En instance
Date de dépôt 2022-10-23
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Low, Chia How
  • Cheng, Roger

Abrégé

Embodiments herein relate to a circuit for evaluating the ground voltage of each circuit partition of a number of circuit partitions, one partition at a time. Once the ground voltage is determined, a corresponding code is stored to control a leakage circuit coupled to the ground node. The leakage circuit provides a leakage current based on the code to offset the ground voltage to a target voltage, which may be common for each of the partitions. The circuit can include a voltage source which supplies a stair step increasing voltage to a comparator. The comparator compares the voltage of the voltage source to the ground node voltage and provides an output which changes when the two input voltages are approximately equal, within a tolerance. The circuit may include a finite state machine for managing the process.

Classes IPC  ?

  • G01R 19/10 - Mesure d'une somme, d'une différence, ou d'un rapport

40.

TUNNING CONFIGURATION PARAMETERS FOR GRAPHICS PIPELINE FOR BETTER USER EXPERENCE

      
Numéro d'application 18460044
Statut En instance
Date de dépôt 2023-09-01
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • He, Fan
  • Qian, Yi
  • Luo, Ning
  • Lin, Yunbiao
  • Wang, Changliang
  • Zhang, Ximin

Abrégé

The disclosure relates to tuning configuration parameters for graphics pipeline for better user experience. A device for graphics processing, comprising: hardware engines; a graphics pipeline at least partly implemented by the hardware engines; and a tuner, coupled to the hardware engines and the graphics pipeline, the tuner to: collect statuses of the device during runtime for a previous frame; determine configuration parameters based on the collected statuses, the configuration parameters associated with three-dimensional 3D rendering, pre-processing and video encoding of the graphics pipeline; and tune the graphics pipeline with the determined configuration parameters for processing a next frame.

Classes IPC  ?

  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06N 3/092 - Apprentissage par renforcement
  • G06T 15/00 - Rendu d'images tridimensionnelles [3D]

41.

METHODS AND APPARATUS FOR DETECTING CARRIER TAPE HEIGHT LEVEL AND THICKNESS USING FIBER OPTIC SENSORS

      
Numéro d'application 17970394
Statut En instance
Date de dépôt 2022-10-19
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Vu, Ngoc Duy
  • Le, Nguyen Hoang Tan
  • Nguyen, Minh Anh Khoa

Abrégé

The disclosure is directed to apparatus and methods for detection of out of position (OOP) components in a carrier tape forming machine. An apparatus includes cross track sensors coupled to the bus interface circuitry, the cross track sensors configured to detect OOP components prior to overlaying the components on the carrier tape with cover tape, optical sensors to detect the OOP components on the carrier tape after overlaying with cover tape and prior to sealing and to detect reflections from OOP components seated on the carrier tape, an amplifier coupled to the optical sensors to amplify signals generated by the optical sensors and set a range for determining whether the components are OOP, and relays to receive indications of detected OOP components, and a controller coupled to the relays to stop the carrier tape forming machine as a function of signals received by the relays.

Classes IPC  ?

  • G01D 5/353 - Moyens mécaniques pour le transfert de la grandeur de sortie d'un organe sensible; Moyens pour convertir la grandeur de sortie d'un organe sensible en une autre variable, lorsque la forme ou la nature de l'organe sensible n'imposent pas un moyen de conversion déterminé; Transducteurs non spécialement adaptés à une variable particulière utilisant des moyens optiques, c. à d. utilisant de la lumière infrarouge, visible ou ultraviolette avec atténuation ou obturation complète ou partielle des rayons lumineux les rayons lumineux étant détectés par des cellules photo-électriques en modifiant les caractéristiques de transmission d'une fibre optique
  • B65B 11/52 - Enserrage d'objets ou de quantités de matériau en plaçant le contenu entre deux feuilles, p.ex. des feuilles comportant des poches, et en fixant ensemble leurs bords libres opposés une des feuilles étant rendue plastique, p.ex. par chauffage, et forcée par la pression exercée par un fluide, p.ex. à l'aide du vide, à s'engager avec l'autre feuille et avec le contenu, p.ex. emballage sous peau
  • B65B 57/02 - Dispositifs de commande automatique, de vérification, d'alarme ou de sécurité sensibles à l'absence, à la présence, à l'alimentation anormale ou à la mauvaise présentation du matériau d'attache ou d'emballage des réceptacles ou des paquets

42.

NAMED AND CLUSTER BARRIERS

      
Numéro d'application 17973234
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Fu, Fangwen
  • Mei, Chunhui
  • Wiegert, John A.
  • Liu, Yongsheng
  • Ashbaugh, Ben J.

Abrégé

Embodiments described herein provide a technique to facilitate the synchronization of workgroups executed on multiple graphics cores of a graphics core cluster. One embodiment provides a graphics core including a cache memory and a graphics core coupled with the cache memory. The graphics core includes execution resources to execute an instruction via a plurality of hardware threads and barrier circuitry to synchronize execution of the plurality of hardware threads, wherein the barrier circuitry is configured to provide a plurality of re-usable named barriers.

Classes IPC  ?

  • G06F 9/52 - Synchronisation de programmes; Exclusion mutuelle, p.ex. au moyen de sémaphores
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption

43.

NETWORK INTERFACE DEVICE BOOTING ONE OR MORE DEVICES

      
Numéro d'application 18535892
Statut En instance
Date de dépôt 2023-12-11
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Cao, Chinh T.
  • Williams, Mitchell
  • Prathivadi Bhayankaram, Yashaswini Raghuram

Abrégé

Examples described herein relate to a network interface device. In some examples, the network interface device includes a device interface; a direct memory access (DMA) circuitry; a network interface; a processor; and circuitry to boot from a network source, obtain one or more boot images from said network source, and subsequently operate as a network boot server for at least one other device.

Classes IPC  ?

44.

PROACTIVE MITIGATION OF POTENTIAL OBJECT STATE DEGRADATION

      
Numéro d'application 18395849
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Oboril, Fabian
  • Buerkle, Cornelius
  • Mudgal, Priyanka
  • Pasch, Frederik
  • Qutub, Syed
  • Scholl, Kay-Ulrich

Abrégé

A system, including: a communication interface operable to receive sensor data related to a state of an object; object state estimation processor circuitry operable to estimate, based on the sensor data, a prospective probability of a degradation of the state of the object; and cobot fleet control processor circuitry operable to generate a command for either a transport cobot operable to transport the object, or another actor, to take proactive action to mitigate the prospective probability of the degradation of the state of the object.

Classes IPC  ?

  • G05B 19/418 - Commande totale d'usine, c.à d. commande centralisée de plusieurs machines, p.ex. commande numérique directe ou distribuée (DNC), systèmes d'ateliers flexibles (FMS), systèmes de fabrication intégrés (IMS), productique (CIM)
  • G05D 1/698 - Attribution des commandes
  • G05D 107/70 - Sites industriels, p. ex. entrepôts ou usines

45.

APPLICATION PRIORITY BASED POWER MANAGEMENT FOR A COMPUTER DEVICE

      
Numéro d'application 18491689
Statut En instance
Date de dépôt 2023-10-19
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Rotem, Efraim
  • Weissmann, Eliezer
  • Rajwan, Doron
  • Aizik, Yoni
  • Natanzon, Esfir
  • Rosenzweig, Nir
  • Shulman, Nadav
  • Plackle, Bart

Abrégé

Embodiments include apparatuses, methods, and systems including a power control unit to control different power consumptions by one or more processors to operate different applications. The power control unit may receive power information that may include a priority information for each application to be operated on the one or more processors, determine to control, based on the power information for different applications, different power consumptions by the one or more processors to operate the different applications. Other embodiments may also be described and claimed.

Classes IPC  ?

  • G06F 1/329 - Gestion de l’alimentation, c. à d. passage en mode d’économie d’énergie amorcé par événements Économie d’énergie caractérisée par l'action entreprise par planification de tâches
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption

46.

DATA PRIVACY PRESERVATION IN MACHINE LEARNING TRAINING

      
Numéro d'application 18400632
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Mudgal, Priyanka
  • Wouhaybi, Rita H.

Abrégé

A first computing system includes a data store with a sensitive dataset. The first computing system uses a feature extraction tool to perform a statistical analysis of the dataset to generate feature description data to describe a set of features within the dataset. A second computing system is coupled to the first computing system and does not have access to the dataset. The second computing system uses a data synthesizer to receive the feature description data and generate a synthetic dataset that models the dataset and includes the set of features. The second computing system trains a machine learning model with the synthetic data set and provides the trained machine learning model to the first computing system for use with data from the data store as an input.

Classes IPC  ?

  • G06N 5/04 - Modèles d’inférence ou de raisonnement
  • G06N 20/00 - Apprentissage automatique

47.

HARQ-ACK TRANSMISSION

      
Numéro d'application 18548205
Statut En instance
Date de dépôt 2022-02-28
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Li, Yingyang
  • Xiong, Gang
  • Lee, Daewon
  • Davydov, Alexei
  • Rane, Prerana

Abrégé

Various embodiments herein provide techniques related to hybrid automatic repeat request acknowledgement (HARQ-ACK) transmission in cellular networks. Some embodiments may relate to HARQ-ACK transmission in networks that use a relatively high carrier frequency (e.g., a carrier frequency above approximately 52.6 gigahertz (GHz)). Some embodiments may relate to HARQ-ACK codebook size determination for multi-physical downlink shared channel (PDSCH) scheduling. Some embodiments may relate to downlink control and HARQ-ACK transmission for multi-PDSCH scheduling. Other embodiments may be described and/or claimed.

Classes IPC  ?

  • H04W 72/232 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens descendant de la liaison sans fil, c. à d. en direction du terminal les données de commande provenant de la couche physique, p.ex. signalisation DCI
  • H04L 1/1812 - Protocoles hybrides; Demande de retransmission automatique hybride [HARQ]
  • H04W 72/1273 - Jumelage du trafic à la planification, p.ex. affectation planifiée ou multiplexage de flux de flux de données en liaison descendante
  • H04W 76/28 - Transmission discontinue [DTX]; Réception discontinue [DRX]

48.

DATA-CENTRIC COMPUTING AND COMMUNICATION INFRASTRUCTURE

      
Numéro d'application 18278801
Statut En instance
Date de dépôt 2022-05-01
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Li, Qian
  • Wu, Geng

Abrégé

An apparatus and system are described to provide functions and procedures in a data-centric infrastructure (DCI). The logical architecture includes an infrastructure orchestration function and controller. Interactions between the infrastructure orchestration function and controller include a function request to form or release a logical computing node, or modify the logical computing node through addition or removal of at least one of a function-dedicated computing (FDC) function, a data plane (DP) function, or a function-dedicated network (FDN) function to the logical computing node. The controller configures the FDC/DP/FDN functions and sends a response indicating completion of operations performed by the controller that are related to the function request.

Classes IPC  ?

  • H04W 8/22 - Traitement ou transfert des données du terminal, p.ex. statut ou capacités physiques
  • H04L 41/342 - Canaux de signalisation pour la communication dédiée à la gestion du réseau entre entités virtuelles, p.ex. orchestrateurs, SDN ou NFV
  • H04W 8/18 - Traitement de données utilisateur ou abonné, p.ex. services faisant l'objet d'un abonnement, préférences utilisateur ou profils utilisateur; Transfert de données utilisateur ou abonné
  • H04W 72/232 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens descendant de la liaison sans fil, c. à d. en direction du terminal les données de commande provenant de la couche physique, p.ex. signalisation DCI

49.

ENHANCED ARTIFICIAL INTELLIGENCE FOR PERFORMANCE VALIDATION OF CORE INTEGRAETED CIRCUIT FEATURES

      
Numéro d'application 17969891
Statut En instance
Date de dépôt 2022-10-19
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Chiranjeevi, Kunapareddy
  • Pitalwala, Sakina
  • Varadarajan Rajagopal, Karthik

Abrégé

This disclosure describes systems, methods, and devices related to using artificial intelligence to validate performance of integrated circuit features. A device may extract, from instruction files, microinstructions source and destination registers; generate a dependency graph including macroinstructions as nodes and dependencies between macroinstructions as edges between the nodes; generate, based on the dependency graph, a frequency distribution of instructions from trace files, performance univariate autoregressive conditionally heteroscedastic (Perf uarch) stat files, and register transfer language (RTL) stat files, predictors for a machine learning model; generate, based on the Perf uarch stat files and the RTL stat files, ratios of Perf uarch stats to RTL stats as target stat ratios; generate, using the predictors and the machine learning model, predicted ratios of Perf uarch stats to RTL stats; and generate, using greedy constrained optimization, based on the target stat ratios and the predicted ratios, recommended traces for debugging.

Classes IPC  ?

  • G06F 30/398 - Vérification ou optimisation de la conception, p.ex. par vérification des règles de conception [DRC], vérification de correspondance entre géométrie et schéma [LVS] ou par les méthodes à éléments finis [MEF]
  • G06F 30/27 - Optimisation, vérification ou simulation de l’objet conçu utilisant l’apprentissage automatique, p.ex. l’intelligence artificielle, les réseaux neuronaux, les machines à support de vecteur [MSV] ou l’apprentissage d’un modèle

50.

QUICK USER DATAGRAM PROTOCOL (UDP) INTERNET CONNECTIONS (QUIC) PACKET OFFLOADING

      
Numéro d'application 18400250
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Deval, Manasi
  • Bowers, Gregory J.
  • Hay, Joshua A.
  • Machnikowski, Maciej
  • Wochtman, Natalia
  • Muniak, Joanna

Abrégé

Embodiments include a method of opening a Quick User Datagram Protocol (UDP) Internet Connections (QUIC) socket on a computing platform, initializing QUIC packet processing of a hardware-based offloader, opening a QUIC connection to the offloader, and transmitting a first QUIC packet to the offloader over the QUIC connection. The hardware-based offloader encrypts and transmits the QUIC packet.

Classes IPC  ?

  • H04L 69/16 - Implémentation ou adaptation du protocole Internet [IP], du protocole de contrôle de transmission [TCP] ou du protocole datagramme utilisateur [UDP]
  • H04L 9/40 - Protocoles réseaux de sécurité
  • H04L 69/12 - Moteurs de protocole
  • H04L 69/164 - Adaptation ou utilisations spéciales du protocole UDP
  • H04L 69/324 - Protocoles de communication intra-couche entre entités paires ou définitions d'unité de données de protocole [PDU] dans la couche liaison de données [couche OSI 2], p.ex. HDLC
  • H04L 69/326 - Protocoles de communication intra-couche entre entités paires ou définitions d'unité de données de protocole [PDU] dans la couche transport [couche OSI 4]

51.

METHODS AND APPARATUS FOR GRADIENT IMAGE DETECTION TO IMPROVE DISPLAY POWER SAVINGS

      
Numéro d'application 18543824
Statut En instance
Date de dépôt 2023-12-18
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Ghosh, Tamoghna
  • Bhattacharjee, Susanta
  • Arora, Mukesh

Abrégé

Systems, apparatus, articles of manufacture, and methods are disclosed for gradient image detection to improve power savings. An example disclosed apparatus includes programmable circuitry to at least one of instantiate or execute the machine readable instructions to identify a region in an image that satisfies a brightness threshold, define a plurality of lines in the image that extend away from the region, and determine the region corresponds to a gradient based on an analysis of pixels along different ones of the plurality of lines.

Classes IPC  ?

  • G06F 1/3218 - Surveillance de dispositifs périphériques de dispositifs d’affichage

52.

ENHANCED MASK PATTERN-AWARE HEURISTICS FOR OPTICAL PROXIMITY CORRECTIONS FOR INTEGRATED CIRCUITS

      
Numéro d'application 17973514
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Johnston, Timothy C.
  • Jeong, Seongtae
  • Khan, Talha
  • Raghunathan, Anjan

Abrégé

This disclosure describes systems, methods, and devices related to optical proximity corrections to an integrated circuit photomask. A method may include identifying a first contour of a first adjacent polygon of a photomask predicted for a first polygon of an integrated circuit, the first contour excluding a first corner formed by a first edge and a second edge of the first polygon; identifying a second contour of a second adjacent polygon of a photomask predicted for a second polygon of the integrated circuit, the second contour excluding a second corner formed by a third edge and a fourth edge of the second polygon; generating a fast contour prediction based on corner rounding associated with the first contour and the second contour; and generating, based on the fast contour prediction, a minimum distance between the first contour and the second contour, the minimum distance associated with the optical proximity corrections.

Classes IPC  ?

  • G03F 1/36 - Masques à correction d'effets de proximité; Leur préparation, p.ex. procédés de conception à correction d'effets de proximité [OPC optical proximity correction]

53.

LOW-LOSS SCALABLE THROUGHPUT FOR WI-FI

      
Numéro d'application 18401138
Statut En instance
Date de dépôt 2023-12-29
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s) Cariou, Laurent

Abrégé

This disclosure describes systems, methods, and devices related to enhanced access category (AC) traffic management. A device may receive one or more frames via a network interface, wherein each of the one or more frames comprises a header. The device may analyze the header of a first frame of the one or more frames to determine prioritization based on predefined criteria. The device may assign and route the first frame to appropriate Access Categories (AC) and Traffic Identifications (TID) based on the prioritization. The device may utilize established dual queuing for each AC, comprising a deep buffer queue and a shallow buffer queue. The device may direct prioritized, low latency frames to the shallow buffer queue. The device may control traffic flow via the deep buffer queue or the shallow buffer queue based on the assigned TID for each AC.

Classes IPC  ?

  • H04L 47/2416 - Trafic en temps réel
  • H04L 47/26 - Commande de flux; Commande de la congestion utilisant un retour explicite à la source, p.ex. paquets de signalisation de congestion
  • H04L 47/62 - Ordonnancement des files d’attente caractérisé par des critères d’ordonnancement

54.

ENHANCED TRAFFIC INDICATIONS FOR MULTI-LINK WIRELESS COMMUNICATION DEVICES

      
Numéro d'application 18401377
Statut En instance
Date de dépôt 2023-12-30
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Min, Alexander
  • Cariou, Laurent
  • Park, Minyoung
  • Huang, Po-Kai

Abrégé

This disclosure describes systems, methods, and devices related to traffic indications for multi-link devices (MLDs). A device may generate a first traffic indication map (TIM) with a first bitmap including a first indication that traffic is to be sent by a first access point (AP) device of the MLD to a first non-AP device of a second MLD using a first communication link The device may generate a second TIM with a second bitmap including a second indication that no traffic is to be sent by a second AP device of the MLD to a second non-AP device of the second MLD using a second communication link The device may send, using the first communication link, the beacon, the beacon including the first TIM and the second TIM. The device may send, using the first communication link, a data frame to the first non-AP device of the second MLD.

Classes IPC  ?

  • H04W 28/02 - Gestion du trafic, p.ex. régulation de flux ou d'encombrement
  • H04W 72/21 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens ascendant de la liaison sans fil, c. à d. en direction du réseau
  • H04W 72/23 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens descendant de la liaison sans fil, c. à d. en direction du terminal
  • H04W 88/10 - Dispositifs formant point d'accès adapté au fonctionnement dans des réseaux multiples, p.ex. points d'accès multi-mode

55.

APPARATUS, ARTICLES OF MANUFACTURE, AND METHODS FOR MANAGING PROCESSING UNITS

      
Numéro d'application 18548072
Statut En instance
Date de dépôt 2022-06-22
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Poornachandran, Rajesh
  • Balasubramanian, Kaushik
  • Puttannaiah, Karan

Abrégé

Apparatus, articles of manufacture, and methods for managing processing units are disclosed. An example apparatus includes first processor circuitry to implement a central processing unit and second processor circuitry to perform at least one of first operations, second operations or third operations to obtain a resource request associated with a first workload; determine if a processing resource of a programmable network device is available to perform processing for the workload; determine if a second workload can be migrated from execution on the programmable network device; based on the determination that the second workload can be migrated, cause the second workload to be migrated; and cause the first workload to execute on the processing resource of the programmable network device.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 9/38 - Exécution simultanée d'instructions
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption

56.

SAMPLING FRACTIONAL-N PHASE-LOCKED LOOP WITH FEEDBACK SPUR COMPENSATION

      
Numéro d'application 17970477
Statut En instance
Date de dépôt 2022-10-19
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Luo, Hao
  • Kundu, Somnath
  • Carlton, Brent R.

Abrégé

Embodiments herein relate to a sampling phase-locked loop (PLL) with a compensation circuit for reducing ripples due to the use of a fractional N divider. The compensation circuit includes a ripple amplifier and a ripple divider. The ripple amplifier receives an output voltage, Vmain, of a main sampling circuit of the PLL and amplifies its alternating current (AC) components. The amplified output voltage is provided to a ripple integrator which samples the minimum and maximum values to provide inputs to an operational amplifier (op amp). An output of the op amp is fed back to a digital-to-analog converter (DAC), which provides a corresponding compensation voltage, Vcomp. Vcomp is added to Vmain to provide a final output control voltage, Vctrl, to control a voltage-controlled oscillator (VCO) of the PLL.

Classes IPC  ?

  • H03L 7/099 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase concernant principalement l'oscillateur commandé de la boucle
  • H03L 7/093 - Commande automatique de fréquence ou de phase; Synchronisation utilisant un signal de référence qui est appliqué à une boucle verrouillée en fréquence ou en phase - Détails de la boucle verrouillée en phase concernant principalement l'agencement de détection de phase ou de fréquence y compris le filtrage ou l'amplification de son signal de sortie utilisant des caractéristiques de filtrage ou d'amplification particulières dans la boucle

57.

WAFER-LEVEL BOND STRENGTH MEASUREMENT

      
Numéro d'application 17973316
Statut En instance
Date de dépôt 2022-10-24
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s) Ahmed, Khaled

Abrégé

This disclosure describes systems, methods, and devices related to bond strength measurement. A device may comprise a first portion of a plate connected to a movement mechanism, a second portion of the plate comprising a sticky probe and a third portion of the plate comprising a mirror with a reflective side pointing outwards. The device may further comprise an optical fiber sensor assembly comprising an optical fiber bundle for sending light through a first optical fiber and receiving light reflected from the mirror through a second optical fiber.

Classes IPC  ?

  • G01N 19/04 - Mesure de la force d'adhérence entre matériaux, p.ex. du ruban adhésif, d'un revêtement

58.

INITIALIZER FOR CIRCLE DISTRIBUTION FOR IMAGE AND VIDEO COMPRESSION AND POSTURE DETECTION

      
Numéro d'application 18510865
Statut En instance
Date de dépôt 2023-11-16
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Tomkiewicz, Pawel
  • Zielonka, Pawel
  • Braszka, Lukasz
  • Martinez-Canales, Monica Lucia

Abrégé

An initializer for circle distribution on a 2D surface using a polar coordinate system for image compression, video compression, motion detection, and posture detection. The initializer can also be used for sphere distribution in a 3D shape. The initializer uses a mixed deterministic and iterative/stochastic approach. Using the polar coordinate system for initialization enables coverage of the user space, and after parameters are initialized, the method transitions to a cartesian coordinate system. Methods for using the polar system in CPU units by applying an XNOR/AND architecture for neural network model compression are also described. The neural network includes a perceptron for supervised learning of binary classifiers. The unit responsible for multiplication in a MAC architecture can be replaced with a non-linear expressive function. Thus, a neural network having a non-linear expressive perceptron (quadtron) is described for solving circle distribution and other problems.

Classes IPC  ?

  • G06V 40/20 - Mouvements ou comportement, p.ex. reconnaissance des gestes
  • G06V 10/82 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant les réseaux neuronaux

59.

INCREMENTAL NEURAL REPRESENTATION FOR FAST GENERATION OF DYNAMIC FREE-VIEWPOINT VIDEOS

      
Numéro d'application 17972032
Statut En instance
Date de dépôt 2022-10-23
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wang, Shengze
  • Supikov, Alexey
  • Ratcliff, Joshua
  • Azuma, Ronald

Abrégé

Described herein is a graphics processor comprising a system interconnect and a graphics processor cluster coupled with the system interconnect. The graphics processor cluster includes circuitry configurable to generate per-frame neural representations of a multi-view video via incremental training and transferal of weights.

Classes IPC  ?

  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06N 3/08 - Méthodes d'apprentissage
  • G06N 5/04 - Modèles d’inférence ou de raisonnement
  • G06T 7/00 - Analyse d'image

60.

METHODS AND APPARATUS FOR RECOMMENDATION SYSTEMS WITH ANONYMIZED DATASETS

      
Numéro d'application 18395311
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Xue, Chendi
  • Zhang, Jian
  • Palangappa, Poovaiah Manavattira
  • Brugarolas Brufau, Rita
  • Ding, Ke
  • Motwani, Ravi H.
  • Wang, Xinyao
  • Zhou, Yu
  • Kakne, Aasavari Dhananjay

Abrégé

Systems, apparatus, articles of manufacture, and methods are disclosed to preserve privacy in a user dataset including interface circuitry, machine readable instructions, and programmable circuitry to determine a data usage type for each one of a plurality of user data features in a first dataset, classify the data usage type associated with each user data feature of the plurality of user data feature into a feature category, apply at least one feature engineering mechanism to feature categories of the data usage types of the plurality of user data features, select, based on application of feature engineering, a subset of the plurality of user data features for a feature selection training model, and output a second dataset based on the subset of the plurality of user data for the feature selection training model, the second dataset to include fewer user data features than the first dataset.

Classes IPC  ?

  • G06F 16/28 - Bases de données caractérisées par leurs modèles, p.ex. des modèles relationnels ou objet
  • G06F 16/23 - Mise à jour

61.

METHODS AND APPARATUS FOR USING ROBOTICS TO ASSEMBLE/DE-ASSEMBLE COMPONENTS AND PERFORM SOCKET INSPECTION IN SERVER BOARD MANUFACTURING

      
Numéro d'application 17972488
Statut En instance
Date de dépôt 2022-10-23
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s) Rajagopal, Shoghi Effendi

Abrégé

The disclosure is directed to apparatus and methods for manufacturing including a collaborative robot, a camera operatively coupled to the collaborative robot, a memory coupled to the collaborative robot, and processing circuitry coupled to the memory, the processing circuitry configured to receive image data of at least one component intended for a printed circuit board (PCB), the image data collected by the camera operatively coupled to the collaborative robot, determine, based on the image data, a coordinate location for the component, and secure the component to the PCB using an end effector of the collaborative robot based on the received image data. In one embodiment, the collaborative robot is configured to operate alongside a human, the collaborative robot in combination with the camera configured to manufacture a computer system with the PCB.

Classes IPC  ?

62.

PROGRAMMABLE PROCESSING ARRAY SUPPORTING MULTI-DIMENSIONAL INTERPOLATION COMPUTATIONS

      
Numéro d'application 18533369
Statut En instance
Date de dépôt 2023-12-08
Date de la première publication 2024-04-25
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Zivkovic, Zoran
  • Chen, Jian-Guo
  • Oneill, Jay
  • Williams, Joseph

Abrégé

Techniques are disclosed for a programmable processor architecture that enables data interpolation using an architecture that iteratively processes portions of a look-up table (LUT) in accordance with a fused single instruction stream, multiple data streams (SIMD) instruction. The LUT may contain segment entries that correspond to a result of evaluating a function using a corresponding index values, which represent an independent variable of the function. The index values are used to map data sample values in a data array that is to be interpolated to the segment entries. By using an iterative process of mapping data samples to valid segment entries contained in each LUT portion, the architecture advantageously facilitates scaling to support larger LUTs and thus may be expanded to enable linear interpolation on multiple dimensions.

Classes IPC  ?

  • G06F 15/80 - Architectures de calculateurs universels à programmes enregistrés comprenant un ensemble d'unités de traitement à commande commune, p.ex. plusieurs processeurs de données à instruction unique
  • G06F 1/03 - Générateurs de fonctions numériques travaillant, au moins partiellement, par consultation de tables

63.

ALLOCATION OF POST PACKAGE REPAIR (PPR) RESOURCES BASED ON MEMORY ROW ERROR TYPE CLASSIFICATION

      
Numéro d'application CN2022126755
Numéro de publication 2024/082275
Statut Délivré - en vigueur
Date de dépôt 2022-10-21
Date de publication 2024-04-25
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Wei, Zhiguo
  • Li, Yufu
  • Xu, Tao

Abrégé

Allocation of post package repair (PPR) resources based on memory row error type classification. The method executes various system memory tests for dynamic random access memory devices and modules in operable communication with a processor. The methodology performed quickly classifies an error detected at boot-time as either a correctable error (CE) type or an uncorrectable error (UCE) type. A preprogrammed CE threshold (a maximum number of CEs per row) is also employed. The method advantageously only resorts to PPR in the following scenarios: If there is a UCE in the row, then perform PPR to repair the row immediately; and, If there are only CEs in the row, but the number of CE is above a pre-defined threshold, then perform PPR to repair the row immediately.

Classes IPC  ?

  • G06F 11/10 - Détection ou correction d'erreur par introduction de redondance dans la représentation des données, p.ex. en utilisant des codes de contrôle en ajoutant des chiffres binaires ou des symboles particuliers aux données exprimées suivant un code, p.ex. contrôle de parité, exclusion des 9 ou des 11

64.

Busbar

      
Numéro d'application 29798996
Numéro de brevet D1023975
Statut Délivré - en vigueur
Date de dépôt 2021-07-12
Date de la première publication 2024-04-23
Date d'octroi 2024-04-23
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Rao, Samantha
  • Jagadish, Harish
  • S, Arvind

65.

INTEGRATED CIRCUIT CONTACT STRUCTURES

      
Numéro d'application 18396174
Statut En instance
Date de dépôt 2023-12-26
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Morrow, Patrick
  • Glass, Glenn A.
  • Murthy, Anand S.
  • Mehandru, Rishabh

Abrégé

Disclosed herein are integrated circuit (IC) contact structures, and related devices and methods. For example, in some embodiments, an IC contact structure may include an electrical element, a metal on the electrical element, and a semiconductor material on the metal. The metal may conductively couple the semiconductor material and the electrical element.

Classes IPC  ?

  • H01L 29/417 - Electrodes caractérisées par leur forme, leurs dimensions relatives ou leur disposition relative transportant le courant à redresser, à amplifier ou à commuter
  • H01L 21/285 - Dépôt de matériaux conducteurs ou isolants pour les électrodes à partir d'un gaz ou d'une vapeur, p.ex. condensation
  • H01L 29/66 - Types de dispositifs semi-conducteurs
  • H01L 29/78 - Transistors à effet de champ l'effet de champ étant produit par une porte isolée

66.

DYNAMIC SELECTION OF TOLLING PROTECTION MECHANISMS AND MULTI-CHANNEL MANAGEMENT

      
Numéro d'application 18547218
Statut En instance
Date de dépôt 2021-06-24
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Mueck, Markus Dominik

Abrégé

Techniques are disclosed for dynamically selecting out of band emission protection mechanisms to protect the usage of other frequency bands, as well as techniques for managing the scheduling and transmission of safety related messages having different communication latency requirements.

Classes IPC  ?

  • H04W 52/34 - Gestion du TPC, c. à d. partage de la quantité limitée de puissance entre les utilisateurs ou les canaux ou encore les types de données, p.ex. charge des cellules

67.

APPARATUS, SYSTEM AND METHOD OF CONFIGURING AN UPLINK TRANSMISSION IN A TRIGGER-BASED MULTI-USER UPLINK TRANSMISSION

      
Numéro d'application 18399480
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Min, Alexander W.
  • Klein, Arik
  • Vannithamby, Rath
  • Avital, Ziv

Abrégé

For example, a wireless communication device may be configured to determine an expected interference-based value corresponding to an Uplink (UL) transmission from a wireless communication station (STA) in a Trigger-Based (TB) Multi-User (MU) UL transmission to be communicated from a plurality of STAs to the wireless communication device; to determine one or more transmit (Tx) configuration parameters for the STA based on the expected interference-based value corresponding to the UL transmission from the STA; and to transmit a trigger frame to trigger the TB MU UL transmission, the trigger frame including the one or more Tx configuration parameters to configure the UL transmission from the STA.

Classes IPC  ?

  • H04W 72/54 - Critères d’affectation ou de planification des ressources sans fil sur la base de critères de qualité
  • H04W 72/1268 - Jumelage du trafic à la planification, p.ex. affectation planifiée ou multiplexage de flux de flux de données en liaison ascendante

68.

SYSTEMS AND METHODS FOR EXECUTING A FUSED MULTIPLY-ADD INSTRUCTION FOR COMPLEX NUMBERS

      
Numéro d'application 18399473
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Dubtsov, Roman S.
  • Valentine, Robert
  • Corbal, Jesus
  • Girkar, Milind
  • Ould-Ahmed-Vall, Elmoustapha

Abrégé

Disclosed embodiments relate to executing a vector-complex fused multiply-add instruction. In one example, a method includes fetching an instruction, a format of the instruction including an opcode, a first source operand identifier, a second source operand identifier, and a destination operand identifier, wherein each of the identifiers identifies a location storing a packed data comprising at least one complex number, decoding the instruction, retrieving data associated with the first and second source operand identifiers, and executing the decoded instruction to, for each packed data element position of the identified first and second source operands, cross-multiply the real and imaginary components to generate four products: a product of real components, a product of imaginary components, and two mixed products, generate a complex result by using the four products according to the instruction, and store a result to the corresponding position of the identified destination operand.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions

69.

APPARATUS, SYSTEM, AND METHOD OF QUALITY OF SERVICE (QOS) NETWORK SLICING OVER WIRELESS LOCAL AREA NETWORK (WLAN)

      
Numéro d'application 18399260
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Doostnejad, Roya
  • Reshef, Ehud
  • Cariou, Laurent

Abrégé

For example, an Access Point (AP) may be configured to process network slicing information including slice identification information and Service Level Agreement (SLA) information, wherein the slice identification information is to identify one or more Quality of Service (QoS) network slices. For example, the AP may be configured to determine a configuration of one or more radio resource allocations to be assigned to the one or more QoS network slices, and to transmit a network slicing advertisement including network slicing assignment information to indicate an assignment of the one or more radio resource allocations to the one or more QoS network slices.

Classes IPC  ?

  • H04W 28/24 - Négociation de l'agrément du niveau de service [SLA Service Level Agreement]; Négociation de la qualité de service [QoS Quality of Service]
  • H04W 28/02 - Gestion du trafic, p.ex. régulation de flux ou d'encombrement
  • H04W 48/18 - Sélection d'un réseau ou d'un service de télécommunications

70.

A Concept for Writing Data to a Limited-Size Data Buffer

      
Numéro d'application 18344901
Statut En instance
Date de dépôt 2023-06-30
Date de la première publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Stewart, Lawrence
  • Keppel, David

Abrégé

Various examples relate to a method, apparatus, device and computer program for a first entity, to a method, apparatus, device and computer program for a second entity, to the first and second entity, and to a system comprising the first and second entity. Some aspects of the present disclosure relate to a method for a first entity for data buffering of write operations performed by a second entity comprises providing a limited-space data buffer comprising a plurality of slots for storing data provided by the second entity, processing the data stored in the slots of the limited-space data buffer, updating a read indicator based on the processing of the data, and providing a copy of the read indicator to the second entity according to a pre-defined criterion.

Classes IPC  ?

  • G06F 3/06 - Entrée numérique à partir de, ou sortie numérique vers des supports d'enregistrement

71.

TECHNIQUES FOR CANCELATION OF ONE OR MORE UPLINK TRANSMISSIONS FROM A USER EQUIPMENT

      
Numéro d'application 18465005
Statut En instance
Date de dépôt 2023-09-11
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Islam, Toufiqul
  • Chatterjee, Debdeep

Abrégé

Various embodiments herein provide techniques for cancelation of one or more uplink (UL) transmissions from a user equipment (UE). The UE may receive an indication of a parameter d to use for determining a start of a reference UL resource (RUR). The parameter d may be UE-specific. The UE may further receive a physical downlink control channel (PDCCH) that includes a downlink control information (DCI) to indicate that a UL transmission is to be canceled in a RUR. The UE may determine a starting symbol of the RUR based on the parameter d. In embodiments, the UE may scale the parameter d based on a first subcarrier spacing (SCS) associated with the parameter d and a second SCS associated with the uplink transmission to obtain a scaled parameter d′ that is used to determine the starting symbol of the RUR. Other embodiments may be described and claimed.

Classes IPC  ?

  • H04W 72/23 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens descendant de la liaison sans fil, c. à d. en direction du terminal
  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission
  • H04W 72/1268 - Jumelage du trafic à la planification, p.ex. affectation planifiée ou multiplexage de flux de flux de données en liaison ascendante

72.

MAGNET-DRIVEN CHEMICAL-MECHANICAL POLISHING

      
Numéro d'application 17966021
Statut En instance
Date de dépôt 2022-10-14
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kornbluth, Yosef
  • Bryks, Whitney
  • Eluri, Ravindranadh Tagore

Abrégé

This disclosure describes systems, methods, and devices related to enhanced plate polishing. A device may place a liquid between a plate and a wafer. The device may utilize a controller to vary a current flowing through an array of coils. The device may apply pressure on the plate to press against the liquid and the wafer.

Classes IPC  ?

  • B24B 1/00 - Procédés de meulage ou de polissage; Utilisation d'équipements auxiliaires en relation avec ces procédés
  • B24B 37/04 - Machines ou dispositifs de rodage; Accessoires conçus pour travailler les surfaces planes
  • B24B 57/02 - Dispositifs pour l'alimentation, l'application, le triage ou la récupération de produits de meulage, polissage ou rodage pour l'alimentation en produits de meulage, polissage ou rodage à l'état fluide, vaporisés, pulvérisés ou liquéfiés

73.

CRYPTOGRAPHIC SEPARATION OF MMIO ON DEVICE

      
Numéro d'application 18462605
Statut En instance
Date de dépôt 2023-09-07
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kida, Luis S.
  • Lal, Reshma
  • Desai, Soham Jayesh

Abrégé

Technologies for cryptographic separation of MMIO operations with an accelerator device include a computing device having a processor and an accelerator. The processor establishes a trusted execution environment. The accelerator determines, based on a target memory address, a first memory address range associated with the memory-mapped I/O transaction, generates a second authentication tag using a first cryptographic key from a set of cryptographic keys, wherein the first key is uniquely associated with the first memory address range. An accelerator validator determines whether the first authentication tag matches the second authentication tag, and a memory mapper commits the memory-mapped I/O transaction in response to a determination that the first authentication tag matches the second authentication tag. Other embodiments are described and claimed.

Classes IPC  ?

  • G06F 12/06 - Adressage d'un bloc physique de transfert, p.ex. par adresse de base, adressage de modules, extension de l'espace d'adresse, spécialisation de mémoire
  • G06F 9/48 - Lancement de programmes; Commutation de programmes, p.ex. par interruption
  • G06F 12/0895 - Mémoires cache caractérisées par leur organisation ou leur structure de parties de mémoires cache, p.ex. répertoire ou matrice d’étiquettes
  • G06F 12/14 - Protection contre l'utilisation non autorisée de mémoire
  • G06F 21/76 - Protection de composants spécifiques internes ou périphériques, où la protection d'un composant mène à la protection de tout le calculateur pour assurer la sécurité du calcul ou du traitement de l’information dans les circuits intégrés à application spécifique [ASIC] ou les dispositifs programmables, p.ex. les réseaux de portes programmables [FPGA] ou les circuits logiques programmables [PLD]

74.

METHOD TO IMPLEMENT WAFER-LEVEL CHIP-SCALE PACKAGES WITH GROUNDED CONFORMAL SHIELD

      
Numéro d'application 18397898
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Signorini, Gianni
  • Seidemann, Georg
  • Waidhas, Bernd

Abrégé

Embodiments disclosed herein include electronic packages with conformal shields and methods of forming such packages. In an embodiment, the electronic package comprises a die having a first surface, a second surface opposite the first surface, and sidewall surfaces. A redistribution layer is over the first surface of the die, and the redistribution layer comprises a first conductive layer. In an embodiment, an under ball metallization (UBM) layer is over the redistribution layer, and a conductive shield is over the sidewall surfaces of the die and the second surface of the die. In an embodiment, the conductive shield is electrically coupled to the UBM layer.

Classes IPC  ?

  • H01L 23/552 - Protection contre les radiations, p.ex. la lumière
  • H01L 21/48 - Fabrication ou traitement de parties, p.ex. de conteneurs, avant l'assemblage des dispositifs, en utilisant des procédés non couverts par l'un uniquement des groupes
  • H01L 21/78 - Fabrication ou traitement de dispositifs consistant en une pluralité de composants à l'état solide ou de circuits intégrés formés dans ou sur un substrat commun avec une division ultérieure du substrat en plusieurs dispositifs individuels
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 23/498 - Connexions électriques sur des substrats isolants

75.

MULTI-CHIP PACKAGING

      
Numéro d'application 18397891
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Sankman, Robert L.
  • Agraharam, Sairam
  • Ou, Shengquan
  • De Bonis, Thomas J.
  • Spencer, Todd
  • Sun, Yang
  • Wang, Guotao

Abrégé

An electronic device may include a first die that may include a first set of die contacts. The electronic device may include a second die that may include a second set of die contacts. The electronic device may include a bridge interconnect that may include a first set of bridge contacts and may include a second set of bridge contacts. The first set of bridge contacts may be directly coupled to the first set of die contacts (e.g., with an interconnecting material, such as solder). The second set of bridge contacts may be directly coupled to the second set of die contacts (e.g., with solder). The bridge interconnect may help facilitate electrical communication between the first die and the second die.

Classes IPC  ?

  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 21/56 - Capsulations, p.ex. couches de capsulation, revêtements
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,

76.

HARDWARE ACCELERATION OF DATA REDUCTION OPERATIONS

      
Numéro d'application 18397651
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kumar, Smita
  • Fleming, Patrick

Abrégé

A hardware accelerator device is provided with circuitry to perform one or more reversible data transforms on data based on a request and compress the transformed data to generate compressed transformed data. The hardware accelerator device generates an output including the compressed transformed data and transform metadata indicating the set of reversible data transforms applied to the compressed transformed data.

Classes IPC  ?

  • H03M 7/30 - Compression; Expansion; Elimination de données inutiles, p.ex. réduction de redondance
  • H03M 7/32 - Conversion en, ou à partir d'une modulation delta, c. à d. une modulation différentielle à un bit

77.

QUALITY STATUS LOOPBACK FOR ONLINE COLLABORATION SESSIONS

      
Numéro d'application 18397668
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Pious, Aiswarya M.
  • Tao, Tao
  • Baran, Stanley Jacob
  • Rosenzweig, Michael Daniel
  • Kuo, Chia-Hung Sophia
  • R, Rahul
  • S, Nagalakshmi
  • Bhat, Praveen Kashyap Ananta
  • Singh, Balvinder Pal
  • P, Navya
  • Tanner, Jason
  • Karunaratne, Passant V.
  • Udhayan, Venkateshan
  • Potluri, Srikanth

Abrégé

An example apparatus disclosed herein is to receive network data communicated via a first channel associated with the online collaboration session, the network data including received media data packets. The disclosed example apparatus is also to analyze the network data to determine first loopback data, the first loopback data including at least one of a first quality score based on a first analysis of the received media data packets or a second quality score based on a second analysis of media decoded from the received media data packets. The disclosed example apparatus is also to analyze local data obtained by a local client during the online collaboration session to determine second loopback data. The disclosed example apparatus is further to cause transmission of a loopback message to a moderator client via the second channel, the loopback message based on the first loopback data and the second loopback data.

Classes IPC  ?

  • H04L 12/18 - Dispositions pour la fourniture de services particuliers aux abonnés pour la diffusion ou les conférences
  • G06F 3/04817 - Techniques d’interaction fondées sur les interfaces utilisateur graphiques [GUI] fondées sur des propriétés spécifiques de l’objet d’interaction affiché ou sur un environnement basé sur les métaphores, p.ex. interaction avec des éléments du bureau telles les fenêtres ou les icônes, ou avec l’aide d’un curseur changeant de comport utilisant des icônes
  • H04L 51/04 - Messagerie en temps réel ou quasi en temps réel, p.ex. messagerie instantanée [IM]
  • H04L 65/1069 - Gestion de session Établissement ou terminaison d'une session
  • H04L 65/80 - Dispositions, protocoles ou services dans les réseaux de communication de paquets de données pour prendre en charge les applications en temps réel en répondant à la qualité des services [QoS]

78.

EXPOSED NODE ISSUE CONFIGURATIONS IN WIRELESS SYSTEMS

      
Numéro d'application 18398756
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Cariou, Laurent

Abrégé

An apparatus of an access point (AP) includes memory and processing circuitry configured to encode a trigger frame for transmission to a plurality of station devices (STAs) in a wireless network. A first request frame received from a STA of the plurality of STAs is decoded. The first request frame requests the AP to create a protected period for the STA when the STA is in an exposed node situation. A second request frame is encoded for transition to at least a second AP. The second request frame requests the at least second AP to establish a restricted target wake time (rTWT) for the STA. A first response frame from the at least second AP is decoded. The first response frame includes an indication of whether the rTWT is established. A second response frame is encoded for transmission to the STA. The second response frame includes the indication.

Classes IPC  ?

  • H04W 74/0816 - avec évitement de collision
  • H04W 74/08 - Accès non planifié, p.ex. accès aléatoire, ALOHA ou accès multiple par détection de porteuse [CSMA Carrier Sense Multiple Access]

79.

SYSTEMS AND METHODS FOR PERFORMING 16-BIT FLOATING-POINT MATRIX DOT PRODUCT INSTRUCTIONS

      
Numéro d'application 18397664
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Heinecke, Alexander F.
  • Valentine, Robert
  • Charney, Mark J.
  • Sade, Raanan
  • Adelman, Menachem
  • Sperber, Zeev
  • Gradstein, Amit
  • Rubanovich, Simon

Abrégé

Disclosed embodiments relate to computing dot products of nibbles in tile operands. In one example, a processor includes decode circuitry to decode a tile dot product instruction having fields for an opcode, a destination identifier to identify a M by N destination matrix, a first source identifier to identify a M by K first source matrix, and a second source identifier to identify a K by N second source matrix, each of the matrices containing doubleword elements, and execution circuitry to execute the decoded instruction to perform a flow K times for each element (m, n) of the specified destination matrix to generate eight products by multiplying each nibble of a doubleword element (M,K) of the specified first source matrix by a corresponding nibble of a doubleword element (K,N) of the specified second source matrix, and to accumulate and saturate the eight products with previous contents of the doubleword element.

Classes IPC  ?

  • G06F 9/30 - Dispositions pour exécuter des instructions machines, p.ex. décodage d'instructions
  • G06F 9/38 - Exécution simultanée d'instructions

80.

PREDICTIVE WORKLOAD ORCHESTRATION FOR DISTRIBUTED COMPUTING ENVIRONMENTS

      
Numéro d'application 18538364
Statut En instance
Date de dépôt 2023-12-13
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Nadathur, Sundar
  • Thyagaturu, Akhilesh
  • Kyle, Jonathan L.
  • Baker, Scott M.
  • Kim, Woojoong

Abrégé

Embodiments for orchestrating execution of workloads on a distributed computing infrastructure are disclosed herein. In one example, environment data is received for compute devices in a distributed computing infrastructure. The environment data is indicative of an operating environment of the respective compute devices and a physical environment of the respective locations of the compute devices. Future operating conditions of the compute devices are predicted based on the environment data, and workloads are orchestrated for execution on the distributed computing infrastructure based on the predicted future operating conditions.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]
  • G06F 11/34 - Enregistrement ou évaluation statistique de l'activité du calculateur, p.ex. des interruptions ou des opérations d'entrée–sortie

81.

MULTIRADIO INTERFACE DATA MODEL AND RADIO APPLICATION PACKAGE CONTAINER FORMAT FOR RECONFIGURABLE RADIO SYSTEMS

      
Numéro d'application 18547067
Statut En instance
Date de dépôt 2022-03-25
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Mueck, Markus Dominik

Abrégé

The present disclosure is generally related to reconfigurable radio equipment (RREs), and in particular to information models and protocols for the multiradio interface for RREs and radio application packages (RAPs) used for reconfiguring RREs. Various extensions to the information models of the multiradio interface for RREs are provided such that internal state information is included in the information models and protocols of the multiradio interface. Various aspects of RAP container formats and structure are also provided.

Classes IPC  ?

  • H04L 41/0895 - Configuration de réseaux ou d’éléments virtualisés, p.ex. fonction réseau virtualisée ou des éléments du protocole OpenFlow
  • G06F 8/71 - Gestion de versions ; Gestion de configuration
  • H04L 41/0806 - Réglages de configuration pour la configuration initiale ou l’approvisionnement, p.ex. prêt à l’emploi [plug-and-play]
  • H04L 67/60 - Ordonnancement ou organisation du service des demandes d'application, p.ex. demandes de transmission de données d'application en utilisant l'analyse et l'optimisation des ressources réseau requises

82.

ROBOT MOVEMENT APPARATUS AND RELATED METHODS

      
Numéro d'application 18492458
Statut En instance
Date de dépôt 2023-10-23
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Liu, Zhongxuan
  • Weng, Zhe

Abrégé

Apparatus, systems, articles of manufacture, and methods for robot movement are disclosed. An example robot movement apparatus includes a sequence generator to generate a sequence of context variable vectors and policy variable vectors. The context variable vectors are related to a movement target, and the policy variable vectors are related to a movement trajectory. The example apparatus includes a calculator to calculate an upper policy and a loss function based on the sequence. The upper policy is indicative of a robot movement, and the loss function is indicative of a degree to which a movement target is met. The example apparatus also includes a comparator to determine if the loss function satisfies a threshold and an actuator to cause the robot to perform the robot movement of the upper policy when the loss function satisfies the threshold.

Classes IPC  ?

83.

AUTOMATED DETECTION OF CASE-SPLITTING OPPORTUNITIES IN RTL

      
Numéro d'application 18395066
Statut En instance
Date de dépôt 2023-12-22
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Coward, Samuel
  • Drane, Theo
  • Constantinides, George A.

Abrégé

Described herein is a technique for automated detection of case-splitting opportunities in RTL. The techniques described herein facilitate the integration of case-splitting into a hardware design tool flow, allowing the generation of hardware designs that do not suffer from timing violations. One embodiment provides a method comprising analyzing a first hardware description in a hardware description language to identify a critical path in a circuit represented by the hardware description, automatically detecting a case-splitting opportunity within the critical path, generating hardware description language for a case split having determined operator domain restrictions, and outputting a second hardware description including the hardware description language for the case split, wherein the second hardware description has a reduced operator hardware cost for the critical path relative to the first hardware description.

Classes IPC  ?

  • G06F 30/327 - Synthèse logique; Synthèse de comportement, p.ex. logique de correspondance, langage de description de matériel [HDL] à liste d’interconnections [Netlist], langage de haut niveau à langage de transfert entre registres [RTL] ou liste d’interconnections [Netlist]

84.

PHYSICAL UPLINK SHARED CHANNEL BASED SMALL DATA TRANSMISSION

      
Numéro d'application 18397817
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Xiong, Gang
  • Sosnin, Sergey

Abrégé

The present disclosure provides techniques for physical uplink shared channel (PUSCH) only based small data transmission, including: configuration of pre-allocated UL resource (PUR) set; association of synchronization signal block (SSB) and PUSCH transmission; scrambling sequence generation of the PUSCH transmission; and a procedure for PUSCH only transmission carrying small data. Other embodiments may be described and claimed.

Classes IPC  ?

  • H04W 72/53 - Critères d’affectation ou de planification des ressources sans fil sur la base de politiques d’affectation réglementaires
  • H04L 1/00 - Dispositions pour détecter ou empêcher les erreurs dans l'information reçue
  • H04L 1/1812 - Protocoles hybrides; Demande de retransmission automatique hybride [HARQ]
  • H04L 1/1867 - Dispositions spécialement adaptées au point d’émission
  • H04L 5/00 - Dispositions destinées à permettre l'usage multiple de la voie de transmission
  • H04L 5/10 - Canaux caractérisés par le type de signal les signaux étant représentés par différentes fréquences avec filtres mécaniques ou démodulateurs
  • H04L 5/14 - Fonctionnement à double voie utilisant le même type de signal, c. à d. duplex
  • H04W 56/00 - Dispositions de synchronisation
  • H04W 72/0453 - Ressources du domaine fréquentiel, p.ex. porteuses dans des AMDF [FDMA]
  • H04W 72/21 - Canaux de commande ou signalisation pour la gestion des ressources dans le sens ascendant de la liaison sans fil, c. à d. en direction du réseau
  • H04W 76/27 - Transitions entre états de commande de ressources radio [RRC]

85.

SYSTEMS, APPARATUS, AND METHODS TO IMPROVE WEBSERVERS USING DYNAMIC LOAD BALANCERS

      
Numéro d'application 18393236
Statut En instance
Date de dépôt 2023-12-21
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Misra, Amruta
  • Mcdonnell, Niall
  • Ganguli, Mrittika
  • Verplanke, Edwin
  • Palermo, Stephen
  • Shah, Rahul
  • Kumar, Pushpendra
  • Khirwadkar, Vrinda
  • Parker, Valerie

Abrégé

Methods, apparatus, systems, and articles of manufacture are disclosed to improve webservers using dynamic load balancers. An example method includes identifying a first and second data object type associated with media and with first and second data objects of the media. The example method also includes enqueuing first and second event data associated with the first and second data object in a first and second queue in first circuitry in a die of programmable circuitry. The example method further includes dequeuing the first and second event data into a third and fourth queue associated with a first and second core of the programmable circuitry, the first circuitry separate from the first core and the second core. The example method additionally includes causing the first and second core to execute a first and second computing operation based on the first and second event data in the third and fourth queues.

Classes IPC  ?

  • H04L 65/612 - Diffusion en flux de paquets multimédias pour la prise en charge des services de diffusion par flux unidirectionnel, p.ex. radio sur Internet pour monodiffusion [unicast]
  • H04L 67/02 - Protocoles basés sur la technologie du Web, p.ex. protocole de transfert hypertexte [HTTP]
  • H04L 67/60 - Ordonnancement ou organisation du service des demandes d'application, p.ex. demandes de transmission de données d'application en utilisant l'analyse et l'optimisation des ressources réseau requises

86.

Thermal-Aware Programmable Logic Device-Based Programming

      
Numéro d'application 18398709
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Srinivasan, Archanna
  • Lim, Teik Wah
  • Chandran, Pravin Chander

Abrégé

Systems or methods of the present disclosure may provide for implementing design software that is used to design a configuration for a programmable fabric of a programmable logic device. Implementing the design software includes receiving, at a processor, design configuration details for the configuration. Implementing the design software also includes receiving, at the processor, a plurality of constraints including a thermal constraint for the configuration. Moreover, implementing the design software comprises performing thermal aware resource selection based at least in part on the thermal constraint. Furthermore, implementing the design software includes causing the programmable logic device to be operated to stay within the thermal constraint.

Classes IPC  ?

  • G05B 19/05 - Automates à logique programmables, p.ex. simulant les interconnexions logiques de signaux d'après des diagrammes en échelle ou des organigrammes

87.

MICROELECTRONIC ASSEMBLIES

      
Numéro d'application 18397873
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Elsherbini, Adel A.
  • Liff, Shawna M.
  • Swan, Johanna M.
  • Chandrasekhar, Arun

Abrégé

Microelectronic assemblies, and related devices and methods, are disclosed herein. For example, in some embodiments, a microelectronic assembly may include a package substrate having a first surface and an opposing second surface, and a die secured to the package substrate, wherein the die has a first surface and an opposing second surface, the die has first conductive contacts at the first surface and second conductive contacts at the second surface, and the first conductive contacts are coupled to conductive pathways in the package substrate by first non-solder interconnects.

Classes IPC  ?

  • H01L 25/00 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/00 - DISPOSITIFS À SEMI-CONDUCTEURS NON COUVERTS PAR LA CLASSE - Détails de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide
  • H01L 23/498 - Connexions électriques sur des substrats isolants
  • H01L 23/538 - Dispositions pour conduire le courant électrique à l'intérieur du dispositif pendant son fonctionnement, d'un composant à un autre la structure d'interconnexion entre une pluralité de puces semi-conductrices se trouvant au-dessus ou à l'intérieur de substrats isolants
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe
  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,

88.

METHOD AND SYSTEM OF VIDEO CODING WITH HANDLING OF ILLEGAL BLOCK PARTITIONS

      
Numéro d'application 18399169
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Yang, Tsung-Han

Abrégé

Methods, systems, and articles are described herein related to video coding. The method comprises receiving compressed image data of video frames including a block of image data of at least one of the frames. The method also comprises receiving first partition data to be used to decode the compressed image data and indicating a partition in the block. This method comprises detecting whether or not the block has an illegal block partition. Also, the method comprises generating second partition data to indicate the illegal block partition of the block is to be ignored. Further, the method includes decoding the block at least according to the second partition data.

Classes IPC  ?

  • H04N 19/176 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le codage adaptatif caractérisés par l’unité de codage, c. à d. la partie structurelle ou sémantique du signal vidéo étant l’objet ou le sujet du codage adaptatif l’unité étant une zone de l'image, p.ex. un objet la zone étant un bloc, p.ex. un macrobloc
  • H04N 19/119 - Aspects de subdivision adaptative, p.ex. subdivision d’une image en blocs de codage rectangulaires ou non
  • H04N 19/186 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le codage adaptatif caractérisés par l’unité de codage, c. à d. la partie structurelle ou sémantique du signal vidéo étant l’objet ou le sujet du codage adaptatif l’unité étant une couleur ou une composante de chrominance
  • H04N 19/59 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le codage prédictif mettant en œuvre un sous-échantillonnage spatial ou une interpolation spatiale, p.ex. modification de la taille de l’image ou de la résolution
  • H04N 19/70 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques caractérisés par des aspects de syntaxe liés au codage vidéo, p.ex. liés aux standards de compression

89.

LASER ABLATION-BASED SURFACE PROPERTY MODIFICATION AND CONTAMINATION REMOVAL

      
Numéro d'application 18399178
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Myasishchev, Denis
  • Mazur, Andrew V.
  • Muthur Srinath, Purushotham Kaushik
  • Nickerson, Robert M.
  • Gokhale, Shripad

Abrégé

Embodiments disclosed herein include electronic packages. In an embodiment, the electronic package comprises a mold layer and a die embedded in the mold layer. In an embodiment the electronic package further comprises a solder resist with a first surface over the mold layer and a second surface opposite from the first surface. In an embodiment, the second surface comprises a first cavity into the solder resist.

Classes IPC  ?

  • H01L 25/18 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant de types prévus dans plusieurs sous-groupes différents du même groupe principal des groupes , ou dans une seule sous-classe de ,
  • H01L 23/31 - Capsulations, p.ex. couches de capsulation, revêtements caractérisées par leur disposition
  • H01L 25/065 - Ensembles consistant en une pluralité de dispositifs à semi-conducteurs ou d'autres dispositifs à l'état solide les dispositifs étant tous d'un type prévu dans le même sous-groupe des groupes , ou dans une seule sous-classe de , , p.ex. ensembles de diodes redresseuses les dispositifs n'ayant pas de conteneurs séparés les dispositifs étant d'un type prévu dans le groupe

90.

VIDEO SUMMARIZATION USING SEMANTIC INFORMATION

      
Numéro d'application 18510354
Statut En instance
Date de dépôt 2023-11-15
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Hwangbo, Myung
  • Singh, Krishna Kumar
  • Lee, Teahyung
  • Tickoo, Omesh

Abrégé

Example apparatus disclosed herein are to process a first image of a first video segment from the image capture sensor with a machine learning algorithm to determine a first score for the first image, the machine learning algorithm to detect actions associated with images, the actions associated with labels. Disclosed example apparatus are also to determine a second score for the first video segment based on respective first scores for corresponding images in the first video segment. Disclosed example apparatus are further to determine, based on the second score, whether to retain the first video segment in the memory.

Classes IPC  ?

  • G06N 3/08 - Méthodes d'apprentissage
  • G06F 18/2431 - Classes multiples
  • G06N 3/045 - Combinaisons de réseaux
  • G06V 10/40 - Extraction de caractéristiques d’images ou de vidéos
  • G06V 10/764 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant la classification, p.ex. des objets vidéo
  • G06V 10/82 - Dispositions pour la reconnaissance ou la compréhension d’images ou de vidéos utilisant la reconnaissance de formes ou l’apprentissage automatique utilisant les réseaux neuronaux
  • G06V 20/40 - RECONNAISSANCE OU COMPRÉHENSION D’IMAGES OU DE VIDÉOS Éléments spécifiques à la scène dans le contenu vidéo

91.

DYNAMIC PARALLEL PROCESSING IN AN EDGE COMPUTING SYSTEM

      
Numéro d'application 18397807
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire INTEL CORPORATION (USA)
Inventeur(s)
  • Thyagaturu, Akhilesh
  • Kyle, Jonathan L.
  • Kumar, Karthik
  • Guim Bernat, Francesc
  • Garg, Mohit Kumar

Abrégé

Data that is to be processed by a particular service executed by a first edge computing device in an application, is analyzed to determine characteristics of the data. An opportunity to replicate the particular service on a plurality of edge computing devices is determined based on characteristics of the data. A second edge computing device is determined to be available to execute a replicated instance of the particular service. Replication of the particular service is initiated on a plurality of edge computing devices including the second edge computing device. An output of an instance of the particular service executed on the first edge computing device and an output of the replicated instance of the particular service executed on the second edge computing device are combined to form a single output for the particular service.

Classes IPC  ?

  • G06F 9/50 - Allocation de ressources, p.ex. de l'unité centrale de traitement [UCT]

92.

TECHNOLOGIES FOR A FLEXIBLE 3D POWER PLANE IN A CHASSIS

      
Numéro d'application 18399565
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wang, Nan
  • Zhang, Zhichao Z.
  • Wu, Lihui
  • Xu, Jialiang
  • Liang, Xiaoguo
  • Chen, Bo
  • Gong, Haifeng

Abrégé

Technologies for a flexible three-dimensional power plane in a chassis are disclosed. In one embodiment, a flexible ribbon cable is laid along a circuit board tray. The flexible ribbon cable is secured to the tray using power bosses. The power bosses connect to one or more conductors on the ribbon cable. When the circuit board is mounted on the circuit board tray, the power bosses extend through holes in the circuit board and mate with power clips on the surface of the circuit board tray. The ribbon cable, power bosses, and power clips can distribute power to various locations on the circuit board, without requiring large traces that take up space on the circuit board.

Classes IPC  ?

  • H05K 7/14 - Montage de la structure de support dans l'enveloppe, sur cadre ou sur bâti
  • H01R 12/79 - Dispositifs de couplage pour circuits imprimés flexibles, câbles plats ou à rubans ou structures similaires se raccordant à des circuits imprimés rigides ou à des structures similaires
  • H05K 1/02 - Circuits imprimés - Détails

93.

IMAGE PROCESSING TECHNOLOGIES

      
Numéro d'application 17967666
Statut En instance
Date de dépôt 2022-10-17
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s) Iwamoto, Narifumi

Abrégé

A system that includes at least one memory device and at least one graphics processing unit (GPU) comprising at least one processor and at least one register accessible to the at least one processor. In some examples, the at least one processor is configured to: retrieve, from the at least one memory device, pixel data of a kernel grid into the at least one register to load pixel data neighboring a target pixel region once into the one or more registers and process the neighboring pixel data based on the retrieved pixel data of the kernel grid from the at least one register.

Classes IPC  ?

  • G06T 1/60 - Gestion de mémoire
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 5/00 - Amélioration ou restauration d'image
  • G06T 5/20 - Amélioration ou restauration d'image en utilisant des opérateurs locaux

94.

Lossless Compression for Multisample Render Targets Alongside Fragment Compression

      
Numéro d'application 18492520
Statut En instance
Date de dépôt 2023-10-23
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Surti, Prasoonkumar
  • Appu, Abhishek R.
  • Norris, Michael J.
  • Liskay, Eric G.

Abrégé

Described herein is a data processing system having a multisample antialiasing compressor coupled to a texture unit and shader execution array. In one embodiment, the data processing system includes a memory device to store a multisample render target, the multisample render target to store color data for a set of sample locations of each pixel in a set of pixels; and general-purpose graphics processor comprising a multisample antialiasing compressor to apply multisample antialiasing compression to color data generated for the set of sample locations of a first pixel in the set of pixels and a multisample render cache to store color data generated for the set of sample locations of the first pixel in the set of pixels, wherein color data evicted from the multisample render cache is to be stored to the multisample render target.

Classes IPC  ?

  • H04N 19/436 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques - caractérisés par les détails de mise en œuvre ou le matériel spécialement adapté à la compression ou à la décompression vidéo, p.ex. la mise en œuvre de logiciels spécialisés utilisant des dispositions de calcul parallélisées
  • G06T 1/20 - Architectures de processeurs; Configuration de processeurs p.ex. configuration en pipeline
  • G06T 5/20 - Amélioration ou restauration d'image en utilisant des opérateurs locaux
  • G06T 7/13 - Détection de bords
  • G06T 9/00 - Codage d'image
  • G06T 15/50 - Effets de lumière
  • H04N 19/85 - Procédés ou dispositions pour le codage, le décodage, la compression ou la décompression de signaux vidéo numériques utilisant le pré-traitement ou le post-traitement spécialement adaptés pour la compression vidéo

95.

HARDWARE PROCESSOR CORE HAVING A MEMORY SLICED BY LINEAR ADDRESS

      
Numéro d'application 17949803
Statut En instance
Date de dépôt 2022-09-21
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Dechene, Mark
  • Carlson, Ryan
  • Majumdar, Sudeepto
  • Trapani Possignolo, Rafael
  • Petrica, Paula
  • Klass, Richard
  • Marathe, Meenakshi

Abrégé

Techniques for slicing memory of a hardware processor core by linear address are described. In certain examples, a hardware processor core includes memory circuitry having: a cache comprising a plurality of slices of memory, wherein each of a plurality of cache lines of memory are only stored in a single slice, and each slice stores a different range of address values compared to any other slice, wherein each of the plurality of slices of memory comprises: an incomplete load buffer to store a load address from the address generation circuit for a load request operation, broadcast to the plurality of slices of memory by the memory circuit from the execution circuit, in response to the load address being within a range of address values of that memory slice, a store address buffer to store a store address from the address generation circuit for a store request operation, broadcast to the plurality of slices of memory by the memory circuit from the execution circuit, in response to the store address being within a range of address values of that memory slice, a store data buffer to store data, including the data for the store request operation that is to be stored at the store address, for each store request operation broadcast to the plurality of slices of memory by the memory circuit from the execution circuit, and a store completion buffer to store the data for the store request operation in response to the store address being stored in the store address buffer of that memory slice, and, in response, clear the store address for the store request operation from the store address buffer and clear the data for the store request operation from the store data buffer.

Classes IPC  ?

  • G06F 12/1027 - Traduction d'adresses utilisant des moyens de traduction d’adresse associatifs ou pseudo-associatifs, p.ex. un répertoire de pages actives [TLB]
  • G06F 12/0882 - Mode de page

96.

TECHNOLOGIES FOR FUSING DATA FROM MULTIPLE SENSORS TO IMPROVE OBJECT DETECTION, IDENTIFICATION, AND LOCALIZATION

      
Numéro d'application 18528424
Statut En instance
Date de dépôt 2023-12-04
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Kavulya, Soila
  • Chattopadhyay, Rita
  • Martinez-Canales, Monica Lucia

Abrégé

Technologies for performing sensor fusion include a compute device. The compute device includes circuitry configured to obtain detection data indicative of objects detected by each of multiple sensors of a host system. The detection data includes camera detection data indicative of a two or three dimensional image of detected objects and lidar detection data indicative of depths of detected objects. The circuitry is also configured to merge the detection data from the multiple sensors to define final bounding shapes for the objects.

Classes IPC  ?

  • G06T 7/73 - Détermination de la position ou de l'orientation des objets ou des caméras utilisant des procédés basés sur les caractéristiques

97.

SYSTEMS AND METHODS FOR PROVIDING NON-LEXICAL CUES IN SYNTHESIZED SPEECH

      
Numéro d'application 18491266
Statut En instance
Date de dépôt 2023-10-20
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Christian, Jessica M.
  • Graff, Peter
  • Nakatsu, Crystal A.
  • Hockey, Beth Ann

Abrégé

Systems and methods are disclosed for providing non-lexical cues in synthesized speech. An example system includes processor circuitry to generate a breathing cue to enhance speech to be synthesized from text; determine a first insertion point of the breathing cue in the text, wherein the breathing cue is identified by a first tag of a markup language; generate a prosody cue to enhance speech to be synthesized from the text; determine a second insertion point of the prosody cue in the text, wherein the prosody cue is identified by a second tag of the markup language; insert the breathing cue at the first insertion point based on the first tag and the prosody cue at the second insertion point based on the second tag; and trigger a synthesis of the speech from the text, the breathing cue, and the prosody cue.

Classes IPC  ?

  • G10L 13/027 - Synthétiseurs de parole à partir de concepts; Génération de phrases naturelles à partir de concepts automatisés
  • G06F 40/30 - Analyse sémantique
  • G06F 40/40 - Traitement ou traduction du langage naturel
  • G10L 13/08 - Analyse de texte ou génération de paramètres pour la synthèse de la parole à partir de texte, p.ex. conversion graphème-phonème, génération de prosodie ou détermination de l'intonation ou de l'accent tonique

98.

METHODS AND APPARATUS FOR TELEMETRY GRANULARITY MANAGEMENT

      
Numéro d'application 18397791
Statut En instance
Date de dépôt 2023-12-27
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Divan Koller, Mario Jose
  • Guim Bernat, Francesc
  • Dave, Manish Dhananjay
  • Carranza, Marcos Emanuel
  • Zhuang, Xiangyang
  • Hoban, Adrian Christopher

Abrégé

An example first device disclosed includes interface circuitry, machine readable instructions, and programmable circuitry to operate based on the machine readable instructions to update configuration data based on a telemetry pattern from a second device, the second device to satisfy a neighbor condition, generate telemetry data based on the configuration data, and update the first set of data based on feedback from a recipient of the telemetry data.

Classes IPC  ?

  • H04L 43/0864 - Retards de voyage aller-retour
  • H04L 43/04 - Traitement des données de surveillance capturées, p.ex. pour la génération de fichiers journaux
  • H04N 7/18 - Systèmes de télévision en circuit fermé [CCTV], c. à d. systèmes dans lesquels le signal vidéo n'est pas diffusé

99.

Method and system for dynamically detecting memory sub-channel mapping and data lane mapping between a memory controller and physical layer circuitry

      
Numéro d'application 18539350
Statut En instance
Date de dépôt 2023-12-14
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wei, Zhiguo
  • Li, Yufu
  • Xu, Tao

Abrégé

A method and apparatus for detecting data lane mapping between a first circuitry and a second circuitry in a system. The first and second circuitry include a plurality of first and second data lanes, respectively that are mapped each other. The external device and the first circuitry are configured with a specific data pattern. A data transfer test is performed such that the specific data pattern is transferred from the external device to the first circuitry via the second data lanes. The data transfer test is performed iteratively by adjusting timing parameters for the second data lanes in the second circuitry in a pre-configured range while setting a timing parameter for a target second data lane in the second circuitry to an invalid value. Data lane mapping for the target second data lane between the first circuitry and the second circuitry is determined based on the data transfer test result.

Classes IPC  ?

  • G06F 12/02 - Adressage ou affectation; Réadressage

100.

METHODS AND DEVICES FOR ITEM TRACKING IN CLOSED ENVIRONMENTS

      
Numéro d'application 18398207
Statut En instance
Date de dépôt 2023-12-28
Date de la première publication 2024-04-18
Propriétaire Intel Corporation (USA)
Inventeur(s)
  • Wouhaybi, Rita H.
  • Pasch, Frederik
  • Mudgal, Priyanka
  • Oboril, Fabian
  • Buerkle, Cornelius
  • Pisharody, Greeshma

Abrégé

An apparatus including a memory and a processor configured to: identify an item located within the environment based on sensor data, wherein the sensor data represents one or more sensor detections of the environment; determine a metric representative of a likelihood of the item becoming lost the within the environment based on information about the item; and select, based on the metric, at least one monitoring method to monitor the item within the environment from a plurality of monitoring methods.

Classes IPC  ?

  • G06Q 10/087 - Gestion d’inventaires ou de stocks, p.ex. exécution des commandes, approvisionnement ou régularisation par rapport aux commandes
  • G08B 21/24 - Alarmes aide-mémoire, p.ex. alarmes contre la perte
  • H04W 4/38 - Services spécialement adaptés à des environnements, à des situations ou à des fins spécifiques pour la collecte d’informations de capteurs
  1     2     3     ...     100        Prochaine page