Spansion LLC

United States of America

Back to Profile

1-89 of 89 for Spansion LLC Sort by
Query
Patent
United States - USPTO
Excluding Subsidiaries
Aggregations Reset Report
IPC Class
H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting 6
H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements 6
H01L 21/44 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups 5
H01L 23/52 - Arrangements for conducting electric current within the device in operation from one component to another 4
H01L 29/66 - Types of semiconductor device 4
See more
Found results for  patents

1.

Method for manufacturing a contact for a semiconductor component and related structure

      
Application Number 11109965
Grant Number 09202758
Status In Force
Filing Date 2005-04-19
First Publication Date 2015-12-01
Grant Date 2015-12-01
Owner SPANSION LLC (USA)
Inventor
  • Besser, Paul R.
  • Ngo, Minh Van
  • Wang, Connie Pin-Chin
  • Yin, Jinsong
  • Pham, Hieu T.

Abstract

A semiconductor component and a method for manufacturing the semiconductor component that are suitable for use with low temperature processing. A semiconductor substrate is provided and an optional layer of silicon nitride is formed on the semiconductor substrate using Atomic Layer Deposition (ALD). A layer of dielectric material is formed on the silicon nitride layer using Sub-Atmospheric Chemical Vapor Deposition (SACVD) at a temperature below about 450° C. When the optional layer of silicon nitride is not present, the SACVD dielectric material is formed on the semiconductor substrate. A contact hole having sidewalls is formed through the SACVD dielectric layer, through the silicon nitride layer, and exposes a portion of the semiconductor substrate. A layer of tungsten nitride is formed on the exposed portion of the semiconductor substrate and along the sidewalls of the contact hole. Tungsten is formed on the layer of tungsten nitride.

IPC Classes  ?

2.

Apparatus and method for smart VCC trip point design for testability

      
Application Number 13972008
Grant Number 08981823
Status In Force
Filing Date 2013-08-21
First Publication Date 2015-02-26
Grant Date 2015-03-17
Owner Spansion LLC (USA)
Inventor
  • Ching-Kooi, Hor
  • Boon-Weng, Teoh
  • Mee-Choo, Ong

Abstract

An apparatus and method for testing is provided. An integrated circuit includes a comparison circuit that is arranged to trip based on a power supply signal reaching a trip point. The integrated circuit also includes an analog-to-digital converter that is arranged to convert the power supply signal into a digital signal. The integrated circuit also includes a storage component that stores a digital value associated with the digital signal, and provides the power supply value at an output pin of the integrated circuit. The integrated circuit includes a latch that is coupled between the analog-to-digital converter and the storage component. The latch is arranged to open when the comparison circuit trips, such that, when the comparison circuit trips, the storage component continues to store a digital value such that the digital value corresponds to the voltage associated with the power supply signal when the comparison circuit tripped.

IPC Classes  ?

  • H03L 7/00 - Automatic control of frequency or phase; Synchronisation
  • H03K 3/012 - Modifications of generator to improve response time or to decrease power consumption
  • H03K 5/24 - Circuits having more than one input and one output for comparing pulses or pulse trains with each other according to input signal characteristics, e.g. slope, integral the characteristic being amplitude

3.

Contact configuration for undertaking tests on circuit board

      
Application Number 14222446
Grant Number 08979550
Status In Force
Filing Date 2014-03-21
First Publication Date 2014-08-21
Grant Date 2015-03-17
Owner Spansion LLC (USA)
Inventor
  • Law, Che Seong
  • Edumban, Kaneasan

Abstract

An electronic structure (for example a reliability board or a cycling control module) has a body including a body portion insertable into a connector. A plurality of contact structures are provided on a side of the body portion, each contact structure comprising a first contact and a second contact spaced from the first contact, with the first and second contacts of each contact structure being aligned in the direction of insertion of the body portion into the connector. A corresponding second plurality of contact structures is provided on a side of the body portion opposite the first—mentioned side. These contacts connect with respective corresponding contacts of the connector.

IPC Classes  ?

  • H01R 12/00 - Structural associations of a plurality of mutually-insulated electrical connecting elements, specially adapted for printed circuits, e.g. printed circuit boards [PCB], flat or ribbon cables, or like generally planar structures, e.g. terminal strips, ; Coupling devices specially adapted for printed circuits, flat or ribbon cables, or like generally planar structures; Terminals specially adapted for contact with, or insertion into, printed circuits, flat or ribbon cables, or like generally planar structures
  • H01R 13/04 - Pins or blades for co-operation with sockets
  • G01R 31/28 - Testing of electronic circuits, e.g. by signal tracer
  • H05K 1/02 - Printed circuits - Details
  • H05K 1/11 - Printed elements for providing electric connections to or between printed circuits

4.

Chip positioning in multi-chip package

      
Application Number 13724897
Grant Number 08901756
Status In Force
Filing Date 2012-12-21
First Publication Date 2014-06-26
Grant Date 2014-12-02
Owner Spansion LLC (USA)
Inventor
  • Foong, Sally
  • Gaddamraja, Seshasayee
  • Beng, Teoh Lai
  • Chin, Lai Nguk
  • Aungkul, Suthakavatin

Abstract

Embodiments of the present invention include a substrate package, a method for multi-chip packaging, and a multi-chip package. For example, the substrate package includes a first set of reference markers and a second set of reference markers. The first set of reference markers is disposed on the substrate package, where the first set of reference markers is configured to provide a first alignment for positioning a first integrated circuit (IC) and a second alignment for positioning a second IC on the substrate package. Further, the second set of reference markers is disposed at a different location on the substrate package than the first set of reference markers, where the second set of reference markers is configured to provide confirmation of the first alignment and the second alignment.

IPC Classes  ?

  • H01L 23/02 - Containers; Seals
  • H01L 21/77 - Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
  • H01L 23/544 - Marks applied to semiconductor devices, e.g. registration marks, test patterns

5.

Forming charge trap separation in a flash memory semiconductor device

      
Application Number 13685286
Grant Number 08975185
Status In Force
Filing Date 2012-11-26
First Publication Date 2014-05-29
Grant Date 2015-03-10
Owner Spansion, LLC (USA)
Inventor Hui, Angela Tai

Abstract

During formation of a charge trap separation in a semiconductor device, a polymer deposition is formed in a reactor using a first chemistry. In a following step, a second chemistry can be used to etch the polymer deposition in the reactor. The same or similar second chemistry can be used in a second etching step to expose a first oxide layer in each of the cells of the semiconductor device and to form a flat upper surface. This additional etch step can also be performed by the reactor, thereby reducing the number of machines required in the formation process.

IPC Classes  ?

  • H01L 21/311 - Etching the insulating layers
  • H01L 21/28 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 29/423 - Electrodes characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

6.

Senone scoring for multiple input streams

      
Application Number 13669907
Grant Number 08996374
Status In Force
Filing Date 2012-11-06
First Publication Date 2014-05-08
Grant Date 2015-03-31
Owner Spansion LLC (USA)
Inventor Bapat, Ojas A.

Abstract

Embodiments of the present invention include an apparatus, method, and system for calculating senone scores for multiple concurrent input speech streams. The method can include the following: receiving one or more feature vectors from one or more input streams; accessing the acoustic model one senone at a time; and calculating separate senone scores corresponding to each incoming feature vector. The calculation uses a single read access to the acoustic model for a single senone and calculates a set of separate senone scores for the one or more feature vectors, before proceeding to the next senone in the acoustic model.

IPC Classes  ?

  • G10L 15/00 - Speech recognition
  • G10L 15/04 - Segmentation; Word boundary detection
  • G10L 17/00 - Speaker identification or verification
  • G10L 15/02 - Feature extraction for speech recognition; Selection of recognition unit
  • G10L 15/187 - Phonemic context, e.g. pronunciation rules, phonotactical constraints or phoneme n-grams

7.

Spacer design to prevent trapped electrons

      
Application Number 13644901
Grant Number 08836012
Status In Force
Filing Date 2012-10-04
First Publication Date 2014-04-10
Grant Date 2014-09-16
Owner Spansion LLC (USA)
Inventor Hui, Angela T.

Abstract

Charge-trapping field effect transistors may be formed into an array on a wafer suitable to be a NAND memory device. A thick oxide layer is applied over the gates to ensure that the gap between the gates is filled. The filled gap substantially prevents nitride from being trapped, which could otherwise decrease the yield of the devices. This technique, and its variations, are useful for a range of semiconductor devices.

IPC Classes  ?

  • H01L 29/792 - Field-effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistor

8.

Semiconductor device and method for manufacturing the same

      
Application Number 14067717
Grant Number 08765529
Status In Force
Filing Date 2013-10-30
First Publication Date 2014-04-03
Grant Date 2014-07-01
Owner Spansion LLC (USA)
Inventor Masuda, Naomi

Abstract

A semiconductor device includes a semiconductor chip, a connection electrode including a first land electrode electrically coupled with the semiconductor chip, and a through electrode formed on an upper surface of the first land electrode to be electrically coupled with the first land electrode using a stud bump, and a sealing resin, through which the connection electrode passes, for sealing the semiconductor chip.

IPC Classes  ?

  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 21/60 - Attaching leads or other conductive members, to be used for carrying current to or from the device in operation
  • H01L 23/498 - Leads on insulating substrates
  • H01L 23/522 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

9.

Heat dissipation methods and structures for semiconductor device

      
Application Number 13966069
Grant Number 08759157
Status In Force
Filing Date 2013-08-13
First Publication Date 2013-12-19
Grant Date 2014-06-24
Owner Spansion LLC (USA)
Inventor Onodera, Masanori

Abstract

A semiconductor device with efficient heat dissipating structures is disclosed. The semiconductor device includes a first semiconductor chip that is flip-chip mounted on a first substrate, a heat absorption portion that is formed between the first semiconductor chip and the first substrate, an outer connection portion that connects the first semiconductor chip to an external device and a heat conduction portion formed between the heat absorption portion and the outer connection portion to dissipate heat generated by the first semiconductor chip.

IPC Classes  ?

  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 23/02 - Containers; Seals
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 23/367 - Cooling facilitated by shape of device
  • H01L 23/36 - Selection of materials, or shaping, to facilitate cooling or heating, e.g. heat sinks
  • H01L 33/64 - Heat extraction or cooling elements
  • H01L 23/40 - Mountings or securing means for detachable cooling or heating arrangements

10.

Self-aligned NAND flash select-gate wordlines for spacer double patterning

      
Application Number 13894218
Grant Number 08874253
Status In Force
Filing Date 2013-05-14
First Publication Date 2013-11-28
Grant Date 2014-10-28
Owner Spansion LLC (USA)
Inventor
  • Chen, Tung-Sheng
  • Fang, Shenqing

Abstract

A method for double patterning is disclosed. In one embodiment the formation a pair of select gate wordlines on either side of a plurality of core wordlines begins by placing a spacer pattern around edges of a photoresist pattern is disclosed. The photoresist pattern is stripped away leaving the spacer pattern. A trim mask is placed over a portion of the spacer pattern. Portions of the spacer pattern are etched away that are not covered by the trim mask. The trim mask is removed, wherein first remaining portions of the spacer pattern define a plurality of core wordlines. A pad mask is placed such that the pad mask and second remaining portions of the spacer pattern define a select gate wordline on either side of the plurality of core wordlines. Finally at least one pattern transfer layer is etched through using the mad mask and the first and second remaining portions of the spacer pattern to etch the select gate wordlines and the plurality of core wordlines into a poly silicon layer.

IPC Classes  ?

  • H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting
  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
  • H01L 21/033 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or comprising inorganic layers
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor

11.

Semiconductor device and method for manufacturing thereof

      
Application Number 13921956
Grant Number 08586412
Status In Force
Filing Date 2013-06-19
First Publication Date 2013-10-31
Grant Date 2013-11-19
Owner Spansion LLC (USA)
Inventor
  • Meguro, Kouichi
  • Onodera, Masanori

Abstract

A semiconductor device which includes a first semiconductor chip, a second semiconductor chip flip-chip bonded to the first semiconductor chip, a resin portion for sealing the first semiconductor chip and the second semiconductor chip such that a lower surface of the first semiconductor chip and an upper surface of the second semiconductor chip are exposed and a side surface of the first semiconductor chip is covered, and a post electrode which pierces the resin portion and is connected to the first semiconductor chip, and a manufacturing method thereof are provided.

IPC Classes  ?

  • H01L 21/00 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

12.

Flip chip bonded semiconductor device with shelf

      
Application Number 13918674
Grant Number 08796864
Status In Force
Filing Date 2013-06-14
First Publication Date 2013-10-24
Grant Date 2014-08-05
Owner Spansion LLC (USA)
Inventor
  • Masuda, Naomi
  • Taya, Koji

Abstract

The semiconductor device according to the present invention has a planar semiconductor chip having projecting connection terminals provided on one surface thereof. A shelf is provided where a peripheral edge of a surface of the semiconductor chip opposite one surface thereof onto which connection terminals are provided is removed. This makes it possible to secure a larger volume of the fillet portion of the underfill, thereby helping improve the function of preventing the rising up of the excess underfill by providing a shelf in the semiconductor chip.

IPC Classes  ?

  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices

13.

Method and apparatus for protection against process-induced charging

      
Application Number 13866915
Grant Number 09318373
Status In Force
Filing Date 2013-04-19
First Publication Date 2013-09-12
Grant Date 2016-04-19
Owner SPANSION LLC (USA)
Inventor
  • Rogers, David M
  • Qian, Mimi X
  • Appiah, Kwadwo A
  • Randolph, Mark
  • Vanbuskirk, Michael A
  • Kamal, Tazrien
  • Kinoshita, Hiroyuki
  • He, Yi
  • Zheng, Wei

Abstract

A semiconductor device (400) for improved charge dissipation protection includes a substrate (426), a layer of semiconductive or conductive material (406), one or more thin film devices (408) and a charge passage device (414). The thin film devices (408) are connected to the semiconductive or conductive layer (406) and the charge passage device (414) is coupled to the thin film devices (408) and to the substrate (426) and provides a connection from the thin film devices (408) to the substrate (426) to dissipate charge from the semiconductive/conductive layer (406) to the substrate (426).

IPC Classes  ?

  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • G11C 8/08 - Word line control circuits, e.g. drivers, boosters, pull-up circuits, pull-down circuits, precharging circuits, for word lines
  • G11C 8/14 - Word line organisation; Word line lay-out
  • H01L 27/02 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
  • H01L 29/66 - Types of semiconductor device

14.

Arithmetic logic unit architecture

      
Application Number 13490129
Grant Number 08924453
Status In Force
Filing Date 2012-06-06
First Publication Date 2013-06-20
Grant Date 2014-12-30
Owner Spansion LLC (USA)
Inventor
  • Fastow, Richard
  • Olson, Jens
  • Shoham, Ben Michael

Abstract

Embodiments of the present invention include an apparatus, method, and system for acoustic modeling. In an embodiment, an arithmetic logic unit for computing a one-dimensional score between a feature vector and a Gaussian probability distribution vector is provided. The arithmetic logic unit includes a computational logic unit configured to compute a first value based on a mean value and a variance value associated with a dimension of the Gaussian probability distribution vector and a dimension of a feature vector, a look up table module configured to output a second value based on the variance value, and a combination module configured to combine the first value and the second value to generate the one-dimensional score.

IPC Classes  ?

  • G06F 7/38 - Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
  • G10L 15/187 - Phonemic context, e.g. pronunciation rules, phonotactical constraints or phoneme n-grams
  • G10L 15/28 - Constructional details of speech recognition systems
  • G06F 17/10 - Complex mathematical operations
  • G10L 15/14 - Speech classification or search using statistical models, e.g. Hidden Markov Models [HMM]
  • G10L 15/02 - Feature extraction for speech recognition; Selection of recognition unit

15.

Void free interlayer dielectric

      
Application Number 13732096
Grant Number 08614475
Status In Force
Filing Date 2012-12-31
First Publication Date 2013-06-06
Grant Date 2013-12-24
Owner SPANSION LLC (USA)
Inventor
  • Ngo, Minh Van
  • Tokuno, Hirokazu
  • Hui, Angela T.
  • Li, Wenmei
  • Thio, Hsiao-Han

Abstract

A method of manufacturing a non-volatile memory device includes forming a number of memory cells. The method also includes depositing a first dielectric layer over the memory cells, where the first dielectric layer is a conformal layer having a substantially uniform thickness. The method further includes depositing a second dielectric layer over the first dielectric layer. Together, the first and second dielectric layers form an interlayer dielectric without voids.

IPC Classes  ?

  • H01L 29/788 - Field-effect transistors with field effect produced by an insulated gate with floating gate

16.

Device having multiple wire bonds for a bond area and methods thereof

      
Application Number 13306390
Grant Number 08791007
Status In Force
Filing Date 2011-11-29
First Publication Date 2013-05-30
Grant Date 2014-07-29
Owner Spansion LLC (USA)
Inventor
  • Tan, Gin Ghee
  • Teoh, Lai Beng
  • Tziat, Royce Yeoh Kao
  • Foong, Sally Yin Lye

Abstract

Wire bonds are formed at an integrated circuit device so that multiple wires are bonded to a single bond pad. In a particular embodiment, the multiple wires are bonded by first applying a stud bump to the pad and successively bonding each of the wires to the stud bump. Another stud bump can be placed over the bonded wires to provide additional connection security.

IPC Classes  ?

  • H01L 21/44 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups

17.

Table lookup operation on masked data

      
Application Number 13738797
Grant Number 08855298
Status In Force
Filing Date 2013-01-10
First Publication Date 2013-05-23
Grant Date 2014-10-07
Owner Spansion LLC (USA)
Inventor Trichina, Elena Vasilievna

Abstract

Processing of masked data using table lookups is described. A mask is applied to input data to generate masked input data. The mask and the masked input data are used in combination to locate an entry in a lookup table. The entry corresponds to a transformed version of the input data.

IPC Classes  ?

  • G06F 21/00 - Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
  • G06F 21/62 - Protecting access to data via a platform, e.g. using keys or access control rules
  • G06F 12/10 - Address translation
  • H04L 9/00 - Arrangements for secret or secure communications; Network security protocols
  • G06F 7/76 - Arrangements for rearranging, permuting or selecting data according to predetermined rules, independently of the content of the data

18.

Storage device, control method of storage device, and control method of storage control device

      
Application Number 13725749
Grant Number 08811107
Status In Force
Filing Date 2012-12-21
First Publication Date 2013-05-02
Grant Date 2014-08-19
Owner Spansion LLC (USA)
Inventor Niimi, Masahiro

Abstract

Memory cell regions as units of erasing operation are sectors S, and units of reading operation and/or writing operation are blocks B0 to B3 in a sector, in which a block address BA for selecting one of blocks B0 to B3 is held in block address buffer (BAB) 3. Holding operation is executed prior to reading or writing operation, and hence in subsequent reading operation or writing operation, re-input is not needed. Depending on the held block address BA, any one of selection signals YDn (n=0 to 3) is selected, and any one block is selected depending on the selection signal YDn. This state is maintained until the block address BA held in the block address buffer (BAB) 3 is rewritten, and therefore it is not required to enter or decode the block address BA on every occasion of reading and/or writing operation, so that the access operation can be executed promptly and at low current consumption.

IPC Classes  ?

  • G11C 8/12 - Group selection circuits, e.g. for memory block selection, chip selection, array selection

19.

Control circuit of step-down DC-DC converter, control circuit of step-up DC-DC converter and step-up/step-down DC-DC converter

      
Application Number 13685827
Grant Number 08680832
Status In Force
Filing Date 2012-11-27
First Publication Date 2013-04-11
Grant Date 2014-03-25
Owner Spansion LLC (USA)
Inventor Miyamae, Toru

Abstract

A DC-DC converter or the like capable of generating a stable output voltage is provided. A control circuit 11 of a current mode step-down DC-DC converter 1 includes a slope compensation circuit SC and an offset circuit IF1. The slope compensation circuit SC adds an increase gradient m2 due to slope compensation to an increase gradient of a coil current waveform Vsense in a range wherein an ON period Ton of a switch SW1 exceeds ½ of an operating cycle T. An offset circuit IF1 applies an offset voltage Voffset which becomes smaller depending on the ON period Ton in excess of ½ of an operating cycle T, to a coil current waveform Vsense.

IPC Classes  ?

  • G05F 1/565 - Regulating voltage or current wherein the variable actually regulated by the final control device is dc using semiconductor devices in series with the load as final control devices sensing a condition of the system or its load in addition to means responsive to deviations in the output of the system, e.g. current, voltage, power factor
  • G05F 1/00 - Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or val

20.

Gap-filling with uniform properties

      
Application Number 12982364
Grant Number 08415256
Status In Force
Filing Date 2010-12-30
First Publication Date 2013-04-09
Grant Date 2013-04-09
Owner SPANSION LLC (USA)
Inventor
  • Nickel, Alexander
  • You, Lu
  • Tokuno, Hirokazu
  • Tran, Minh
  • Van Ngo, Minh
  • Pham, Hieu
  • Wilson, Erik
  • Huertas, Robert

Abstract

During semiconductor fabrication homogeneous gap-filling is achieved by depositing a thin dielectric layer into the gap, post deposition curing, and then repeating deposition and post deposition curing until gap-filling is completed. Embodiments include depositing a layer of low deposition temperature gap-fill dielectric into a high aspect ratio opening, such as a shallow trench or a gap between closely spaced apart gate electrode structures, as at a thickness of about 10 Å to about 500 Å, curing after deposition, as by UV radiation or by heating at a temperature of about 400° C. to about 1000° C., depositing another layer of low deposition temperature gap-filled dielectric, and curing after deposition. Embodiments include separately depositing and separately curing multiple layers.

IPC Classes  ?

  • H01L 21/31 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers; Selection of materials for these layers
  • H01L 21/469 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers

21.

A/D converter

      
Application Number 13558093
Grant Number 08830097
Status In Force
Filing Date 2012-07-25
First Publication Date 2013-03-07
Grant Date 2014-09-09
Owner Spansion LLC (USA)
Inventor
  • Aruga, Kenta
  • Miyazaki, Takashi
  • Tomura, Hiroyuki

Abstract

An arithmetic operation circuit provided in a delta-sigma modulator of a delta-sigma A/D converter includes two reference capacitors which are respectively provided at a positive side input node and a negative side input node of an operational amplifier. When a signal corresponding to an output of the modulator is added or subtracted to or from an input signal, the amount of charge added to the input node of the operational amplifier is made to be always the same regardless of the reference voltage by complementarily switching the connection of the reference capacitors at the positive side input node and the negative side input node, and thereby the potential of the input node of the operational amplifier is made to converge to the common mode potential of the circuit.

IPC Classes  ?

  • H03M 3/00 - Conversion of analogue values to or from differential modulation
  • H03M 3/02 - Delta modulation, i.e. one-bit differential modulation

22.

Void free interlayer dielectric

      
Application Number 11109719
Grant Number 08367493
Status In Force
Filing Date 2005-04-20
First Publication Date 2013-02-05
Grant Date 2013-02-05
Owner SPANSION LLC (USA)
Inventor
  • Ngo, Minh Van
  • Tokuno, Hirokazu
  • Hui, Angela T.
  • Li, Wenmei
  • Thio, Hsiao-Han

Abstract

A method of manufacturing a non-volatile memory device includes forming a number of memory cells. The method also includes depositing a first dielectric layer over the memory cells, where the first dielectric layer is a conformal layer having a substantially uniform thickness. The method further includes depositing a second dielectric layer over the first dielectric layer. Together, the first and second dielectric layers form an interlayer dielectric without voids.

IPC Classes  ?

  • H01L 21/8238 - Complementary field-effect transistors, e.g. CMOS

23.

PLL circuit

      
Application Number 13558835
Grant Number 08638140
Status In Force
Filing Date 2012-07-26
First Publication Date 2012-11-22
Grant Date 2014-01-28
Owner Spansion LLC (USA)
Inventor Okada, Koji

Abstract

A phase locked loop (PLL) circuit including a phase comparator for comparing a phase of a reference signal with a phase of a feedback signal, an oscillator for outputting an output signal at a frequency in accordance with an output of the phase comparator, a feedback loop for returning the output signal of the oscillator and supplying the output signal as the feedback signal, and a delay circuit for delaying the phase of the output signal output from the oscillator to a load circuit, wherein the delay circuit is provided outside the feedback loop.

IPC Classes  ?

  • H03L 7/06 - Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop

24.

Multiple communication channels on MMC or SD CMD line

      
Application Number 13410630
Grant Number 08386681
Status In Force
Filing Date 2012-03-02
First Publication Date 2012-09-06
Grant Date 2013-02-26
Owner Spansion LLC (USA)
Inventor
  • Charrat, Bruno
  • Grall, Jean-Yves
  • Prawitz, Nicolas
  • Kornitz, Roni

Abstract

The claimed subject matter can provide an architecture that interfaces a single slave device such as a UICC smartcard with multiple host controllers. For example, a secondary host can be interfaced between a primary host (e.g., a controller in a cellular phone, a PDA, an MP3 player . . . ) to manage all transactions with the slave device. The secondary host can operate transparently to the primary host and thus does not require any modifications to the primary host. This can be accomplished, e.g., by employing the CMD channel (which is relatively sparsely used by the primary host) to communicate both commands and data with the slave.

IPC Classes  ?

  • G06F 13/00 - Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units

25.

Array type CAM cell for simplifying processes

      
Application Number 11349562
Grant Number 08237210
Status In Force
Filing Date 2006-02-08
First Publication Date 2012-08-07
Grant Date 2012-08-07
Owner Spansion LLC (USA)
Inventor
  • Wang, Zhigang
  • Mizutani, Kazuhiro
  • Fastow, Richard

Abstract

A semiconductor apparatus is presented that includes an array of memory cells. The memory cells are arranged in rows and columns. Non-intersecting shallow trench isolation regions isolate the columns of memory cells. Also included is at least one source region that is isolated between an adjoining pair of the non-intersecting shallow trench isolation regions and isolated from a drain region. The source region is coupled to source lines in the array of memory cells. A contact couples a select plurality of the columns of memory cells, the select plurality functioning as a single content addressable memory cell.

IPC Classes  ?

26.

Semiconductor device

      
Application Number 13316517
Grant Number 08621643
Status In Force
Filing Date 2011-12-11
First Publication Date 2012-07-26
Grant Date 2013-12-31
Owner Spansion LLC (USA)
Inventor
  • Suyama, Hiroko
  • Shibata, Kenichiro
  • Wakamatsu, Hiroki

Abstract

A semiconductor device includes a nonvolatile memory, and an interface configured to transfer data to and from the nonvolatile memory. The interface includes a security logic unit which controls a security level for the data written to the nonvolatile memory, in accordance with a plurality of preset security codes and a lock code that is written to a specific area in the nonvolatile memory.

IPC Classes  ?

  • G06F 21/00 - Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity

27.

Non-volatile FINFET memory array and manufacturing method thereof

      
Application Number 13006339
Grant Number 08598646
Status In Force
Filing Date 2011-01-13
First Publication Date 2012-07-19
Grant Date 2013-12-03
Owner Spansion LLC (USA)
Inventor
  • Chen, Chun
  • Fang, Shenqing

Abstract

An electronic device includes a substrate with a semiconducting surface having a plurality of fin-type projections coextending in a first direction through a memory cell region and select gate regions. The electronic device further includes a dielectric isolation material disposed in spaces between the projections. In the electronic device, the dielectric isolation material in the memory cell regions have a height less than a height of the projections in the memory cell regions, and the dielectric isolation material in the select gate regions have a height greater than or equal to than a height of the projections in the select gate regions. The electronic device further includes gate features disposed on the substrate within the memory cell region and the select gate regions over the projections and the dielectric isolation material, where the gate features coextend in a second direction transverse to the first direction.

IPC Classes  ?

  • H01L 27/105 - Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components

28.

Memory device having trapezoidal bitlines and method of fabricating same

      
Application Number 13357252
Grant Number 08957472
Status In Force
Filing Date 2012-01-24
First Publication Date 2012-05-17
Grant Date 2015-02-17
Owner SPANSION LLC (USA)
Inventor
  • Melik-Martirosian, Ashot
  • Ramsbey, Mark T.
  • Randolph, Mark W.

Abstract

A memory device and a method of fabrication are provided. The memory device includes a semiconductor substrate and a charge trapping dielectric stack disposed over the semiconductor substrate. A gate electrode is disposed over the charge trapping dielectric stack, where the gate electrode electrically defines a channel within a portion of the semiconductor substrate. The memory device includes a pair of bitlines, where the bitlines have a lower portion and a substantially trapezoidal shaped upper portion.

IPC Classes  ?

  • H01L 29/792 - Field-effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistor
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor
  • H01L 29/66 - Types of semiconductor device

29.

Switching regulator

      
Application Number 13187013
Grant Number 08878504
Status In Force
Filing Date 2011-07-20
First Publication Date 2012-04-19
Grant Date 2014-11-04
Owner Spansion LLC (USA)
Inventor Komiya, Yasuhide

Abstract

A switching regulator has an output circuit having first and second transistors and a connection node thereof as an output terminal; a switching control unit generating a first and second switching pulses for alternately switching the first and second transistors according to the load; and a first comparator monitoring an output voltage, and generating a pulse stopping control signal for stopping the generation of the switching pulses when the output voltage rises, and for generating the switching pulses when the output voltage drops. And the switching control unit performs a stopping operation for stopping the switching pulse generation and a switching operation for generating the switching pulse in response to the pulse stopping control signal, and outputs, to the first comparator, a timing control signal for quickening a switching timing from the stopping operation to the switching operation as the load of the load circuit increases.

IPC Classes  ?

  • H02M 3/157 - Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators with digital control
  • H02M 3/156 - Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators
  • H02M 1/00 - APPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF - Details of apparatus for conversion

30.

Switching regulator

      
Application Number 13173926
Grant Number 08698473
Status In Force
Filing Date 2011-06-30
First Publication Date 2012-03-08
Grant Date 2014-04-15
Owner Spansion LLC (USA)
Inventor Kimura, Takeshi

Abstract

A switching regulator: first switching element and second switching element; a logic unit which outputs to the load the output voltage converted from the input voltage to the constant voltage, by causing the first switching element and the second switching element to perform a switching operation; an error amplifier which outputs first signal indicating an error between the output voltage and the first reference voltage; first comparator which inputs the first signal and second signal indicating an output voltage that is proportional to load current flowing in the load, and outputs to the logic unit control signal causing the logic unit to perform the switching operation based on the first signal and the second signal; and a correction unit which is connected to an input side of the error amplifier, and corrects an input voltage of the error amplifier to reduce the input voltage to a certain value or lower.

IPC Classes  ?

  • H02M 3/156 - Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode using devices of a triode or transistor type requiring continuous application of a control signal using semiconductor devices only with automatic control of output voltage or current, e.g. switching regulators

31.

Buried silicide local interconnect with sidewall spacers and method for making the same

      
Application Number 13281491
Grant Number 08368219
Status In Force
Filing Date 2011-10-26
First Publication Date 2012-02-16
Grant Date 2013-02-05
Owner SPANSION LLC (USA)
Inventor
  • Halliyal, Arvind
  • Krivokapic, Zoran
  • Buynoski, Matthew S.
  • Tripsas, Nicholas H.
  • Ngo, Minh Van
  • Ramsbey, Mark T.
  • Shields, Jeffrey A.
  • Ogura, Jusuke

Abstract

A buried local interconnect and method of forming the same counterdopes a region of a doped substrate to form a counterdoped isolation region. A hardmask is formed and patterned on the doped substrate, with a recess being etched through the patterned hardmask into the counterdoped region. Dielectric spacers are formed on the sidewalls of the recess, with a portion of the bottom of the recess being exposed. A metal is then deposited in the recess and reacted to form silicide at the bottom of the recess. The recess is filled with fill material, which is polished. The hardmask is then removed to form a silicide buried local interconnect.

IPC Classes  ?

  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 23/52 - Arrangements for conducting electric current within the device in operation from one component to another

32.

Method and system for thin multi chip stack package with film on wire and copper wire

      
Application Number 12826366
Grant Number 08680686
Status In Force
Filing Date 2010-06-29
First Publication Date 2011-12-29
Grant Date 2014-03-25
Owner Spansion LLC (USA)
Inventor
  • Chin, Lai Nguk
  • Ho, Foong Yue
  • Nam, Wong Kwet
  • Lee, Thor Lee
  • Foong, Sally
  • Guan, Kevin

Abstract

A system and method for a thin multi chip stack package with film on wire and copper wire. The package comprises a substrate and a first die overlying the substrate. Copper wires electrically connect the first die to the substrate. A film overlies the first die and a portion of the copper wires. In addition, the film adheres a second die to the first die. The film also electrically insulates the copper wires from the second die.

IPC Classes  ?

  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements

33.

Semiconductor integrated circuit, operating method of semiconductor integrated circuit, and debug system

      
Application Number 13014318
Grant Number 08595562
Status In Force
Filing Date 2011-01-26
First Publication Date 2011-12-08
Grant Date 2013-11-26
Owner Spansion LLC (USA)
Inventor
  • Sato, Takashi
  • Saruwatari, Toshiaki
  • Ryu, Ken

Abstract

A current measurement unit measuring power supply currents each consumed in a plurality of circuit blocks of which at least one of the circuit blocks includes a processor, and outputting the measurement result as the power supply current values. A selection unit selecting at least one of the power supply current values according to selection information. A trace buffer sequentially holding the power supply current values being selected by the selection unit together with execution information of the processor, and sequentially outputting the held information. By selecting the power supply current values of the circuit blocks required for debugging according to the selection information, the number of external terminals of a semiconductor integrated circuit required for the debugging which includes tracing the power supply current values may be reduced. As a result, a chip size of the semiconductor integrated circuit with a debug function may be reduced.

IPC Classes  ?

  • G06F 11/00 - Error detection; Error correction; Monitoring

34.

Buried silicide local interconnect with sidewall spacers and method for making the same

      
Application Number 12843131
Grant Number 08049334
Status In Force
Filing Date 2010-07-26
First Publication Date 2011-11-01
Grant Date 2011-11-01
Owner SPANSION LLC (USA)
Inventor
  • Halliyal, Arvind
  • Krivokapic, Zoran
  • Buynoski, Matthew S.
  • Tripsas, Nicholas H.
  • Ngo, Minh Van
  • Ramsbey, Mark T.
  • Shields, Jeffrey A.
  • Ogura, Jusuke

Abstract

A buried local interconnect and method of forming the same counterdopes a region of a doped substrate to form a counterdoped isolation region. A hardmask is formed and patterned on the doped substrate, with a recess being etched through the patterned hardmask into the counterdoped region. Dielectric spacers are formed on the sidewalls of the recess, with a portion of the bottom of the recess being exposed. A metal is then deposited in the recess and reacted to form silicide at the bottom of the recess. The recess is filled with fill material, which is polished. The hardmask is then removed to form a silicide buried local interconnect.

IPC Classes  ?

  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 23/52 - Arrangements for conducting electric current within the device in operation from one component to another

35.

Method of forming a contact in a semiconductor device with engineered plasma treatment profile of barrier metal layer

      
Application Number 11388976
Grant Number 08039391
Status In Force
Filing Date 2006-03-27
First Publication Date 2011-10-18
Grant Date 2011-10-18
Owner SPANSION LLC (USA)
Inventor
  • Yin, Jinsong
  • Yu, Wen
  • Wang, Connie Pin-Chin
  • Besser, Paul
  • Yoshie, Keizaburo

Abstract

A method of forming a contact in a semiconductor device provides a titanium contact layer in a contact hole and a MOCVD-TiN barrier metal layer on the titanium contact layer. Impurities are removed from the MOCVD-TiN barrier metal layer by a plasma treatment in a nitrogen-hydrogen plasma. The time period for plasma treating the titanium nitride layer is controlled so that penetration of nitrogen into the underlying titanium contact layer is substantially prevented, preserving the titanium contact layer for subsequently forming a titanium silicide at the bottom of the contact.

IPC Classes  ?

  • H01L 21/44 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups

36.

Control circuit and electronic device

      
Application Number 13035485
Grant Number 08928177
Status In Force
Filing Date 2011-02-25
First Publication Date 2011-09-29
Grant Date 2015-01-06
Owner Spansion LLC (USA)
Inventor
  • Suzuki, Tomohiro
  • Natsume, Masahiro

Abstract

A controller includes a difference detector that detects a difference between a switching timing of a first channel of a switching power supply including a plurality of channels, and a switching timing of a second channel of the switching power supply, the plurality of channels being coupled in common to an input power supply and performing switching operations in response to clock signals, and a timing adjuster that, based on a detection result of the difference detector, increases a difference between a timing of a clock signal supplied to the first channel and a timing of a clock signal supplied to the second channel when the difference between the switching timing of the first channel and the switching timing of the second channel is smaller than a first value.

IPC Classes  ?

  • H02J 1/00 - Circuit arrangements for dc mains or dc distribution networks
  • H02J 3/00 - Circuit arrangements for ac mains or ac distribution networks
  • G05F 3/04 - Regulating voltage or current wherein the variable is ac
  • H03D 3/00 - Demodulation of angle-modulated oscillations
  • H02M 3/07 - Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using resistors or capacitors, e.g. potential divider using capacitors charged and discharged alternately by semiconductor devices with control electrode

37.

Selection of a lookup table with data masked with a combination of an additive and multiplicative mask

      
Application Number 13111421
Grant Number 08705731
Status In Force
Filing Date 2011-05-19
First Publication Date 2011-09-22
Grant Date 2014-04-22
Owner Spansion LLC (USA)
Inventor Trichina, Elena Vasilievna

Abstract

Processing of masked data using multiple lookup tables (LUTs), or sub-tables, is described. For each input value, an appropriate sub-table provides an output value that is the result of a non-linear transformation (e.g., byte substitution) applied to the input value. An additive mask can be applied to the input data. A transformation can be applied to the masked input data to transform the additive mask into a multiplicative-additive mask. Selected bits of the masked input data and the bits in the additive component of the multiplicative-additive mask can be used in combination to select one of the sub-tables. An entry in the selected sub-table, corresponding to a transformed version of the input data, can then be identified.

IPC Classes  ?

  • H04L 29/06 - Communication control; Communication processing characterised by a protocol

38.

Reference voltage circuit and semiconductor integrated circuit

      
Application Number 13036956
Grant Number 08786358
Status In Force
Filing Date 2011-02-28
First Publication Date 2011-09-22
Grant Date 2014-07-22
Owner Spansion LLC (USA)
Inventor
  • Endo, Yoshiyuki
  • Aruga, Kenta
  • Tachibana, Suguru
  • Okada, Koji

Abstract

A reference voltage circuit includes a first amplifier configured to output a reference voltage, a second amplifier coupled to the first amplifier, an offset adjustment voltage generation circuit, a first load device and a first pn junction device, and second and third load devices and a second pn junction device. The offset adjustment voltage generation circuit is configured to generate a voltage which is input to the third and fourth input terminals of the second amplifier, and reduce an offset voltage between the first and second input terminals of the first amplifier through the second amplifier. The first input terminal is coupled to a coupling node of the first load device and the first pn junction device, and the second input terminal is coupled to a coupling node of the second load device and the third load device.

IPC Classes  ?

  • G05F 1/10 - Regulating voltage or current
  • G05F 3/24 - Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics being semiconductor devices using diode-transistor combinations wherein the transistors are of the field-effect type only

39.

Ultraviolet radiation blocking interlayer dielectric

      
Application Number 11091519
Grant Number 08022468
Status In Force
Filing Date 2005-03-29
First Publication Date 2011-09-20
Grant Date 2011-09-20
Owner SPANSION LLC (USA)
Inventor
  • Ngo, Minh Van
  • Li, Wenmei
  • Shields, Jeffrey A.
  • Cheng, Ning
  • Hui, Angela
  • Chen, Cinti Xiaohua

Abstract

A memory device may include a substrate, a first dielectric layer formed over the substrate and a charge storage element formed over the first dielectric layer. The memory device may also include a second dielectric layer formed over the charge storage element and a control gate formed over the second dielectric layer. The memory device may further include an interlayer dielectric formed over the control gate and the substrate, where the interlayer dielectric includes a material that is substantially opaque to ultraviolet radiation.

IPC Classes  ?

40.

Planar cell ONO cut using in-situ polymer deposition and etch

      
Application Number 12703586
Grant Number 08790530
Status In Force
Filing Date 2010-02-10
First Publication Date 2011-08-11
Grant Date 2014-07-29
Owner Spansion LLC (USA)
Inventor
  • Hui, Angela T.
  • Xue, Gang

Abstract

A method and manufacture for charge storage layer separation is provided. A layer, such as a polymer layer, is deposited on top of an ONO layer so that the polymer layer is planarized, or approximately planarized. The ONO includes at least a first region and a second region, where the first region is higher than the second region. For example, the first region may be the portion of the ONO that is over the source/drain region, and the second region may be the portion of the ONO that is over the shallow trench. Etching is performed on the polymer layer to expose the first region of the ONO layer, leaving the second region of the ONO unexposed. The etching continues to occur to etch the exposed ONO at the first region so that the ONO layer is etched away in the first region and the second region remains unexposed.

IPC Classes  ?

  • G01L 21/30 - Vacuum gauges by making use of ionisation effects
  • H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting

41.

Semiconductor device sealed in a resin section and method for manufacturing the same

      
Application Number 13052865
Grant Number 08900993
Status In Force
Filing Date 2011-03-21
First Publication Date 2011-07-14
Grant Date 2014-12-02
Owner Spansion LLC (USA)
Inventor Meguro, Kouichi

Abstract

A semiconductor device includes a first semiconductor chip having a pad electrode formed on an upper surface thereof; a resin section sealing the first semiconductor chip with the upper surface and a side surface of the first semiconductor chip being covered and a lower surface of die first semiconductor chip being exposed; a columnar electrode communicating between the upper surface and the lower surface of the resin section with the upper surface and the lower surface of the columnar electrode being exposed on the resin section and at least a part of the side surface of the columnar electrode being covered; and a bonding wire connecting the pad electrode and the columnar electrode with a part of the bonding wire being embedded in the columnar electrode as one end of the bonding wire being exposed on the lower surface of the columnar electrode and the remaining part of the bonding wire being covered with the resin section, and a method for manufacturing the same.

IPC Classes  ?

  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups
  • H01L 21/44 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 21/50 - Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the groups
  • H01L 23/00 - SEMICONDUCTOR DEVICES NOT COVERED BY CLASS - Details of semiconductor or other solid state devices
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
  • H01L 25/065 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group
  • H01L 25/10 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices all the devices being of a type provided for in the same subgroup of groups , or in a single subclass of , , e.g. assemblies of rectifier diodes the devices having separate containers
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
  • H01L 21/56 - Encapsulations, e.g. encapsulating layers, coatings
  • H01L 25/00 - Assemblies consisting of a plurality of individual semiconductor or other solid state devices

42.

Line-edge roughness improvement for small pitches

      
Application Number 12648059
Grant Number 08877641
Status In Force
Filing Date 2009-12-28
First Publication Date 2011-06-30
Grant Date 2014-11-04
Owner Spansion LLC (USA)
Inventor Gabriel, Calvin T

Abstract

A method for mitigating line-edge roughness on a semiconductor device. The method includes line-edge roughness mitigation techniques in accordance with embodiments of the present invention. The techniques include: reducing the SiON film thickness below a conventional thickness; increasing the photoresist thickness above a conventional thickness; etching the SiON film with an etch bias power less than a conventional wattage amount with an overetch percentage less than a conventional overetch percentage; removing the SiON film layer immediately after completion of the amorphous carbon film layer etching; and lowering the lower electrode temperature below a conventional temperature.

IPC Classes  ?

  • H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting
  • H01L 21/311 - Etching the insulating layers
  • H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device

43.

Film stacks to prevent UV-induced device damage

      
Application Number 11091524
Grant Number 07927723
Status In Force
Filing Date 2005-03-29
First Publication Date 2011-04-19
Grant Date 2011-04-19
Owner SPANSION LLC (USA)
Inventor
  • Hui, Angela T.
  • Cheng, Ning
  • Ngo, Minh Van
  • Tokuno, Hirokazu
  • Li, Wenmei

Abstract

A film stack includes an interlayer dielectric formed over one or more devices. The film stack further includes a first layer having a high extinction coefficient formed on the interlayer dielectric and a second layer having a low extinction coefficient formed on the first layer. The first and second layers prevent ultraviolet induced damage to the one or more devices while minimizing reflectivity for lithographic processes.

IPC Classes  ?

  • G02B 1/10 - Optical coatings produced by application to, or surface treatment of, optical elements
  • G02B 5/28 - Interference filters
  • B32B 9/00 - Layered products essentially comprising a particular substance not covered by groups
  • B32B 19/00 - Layered products essentially comprising natural mineral fibres or particles, e.g. asbestos, mica

44.

Gap-filling with uniform properties

      
Application Number 11408086
Grant Number 07884030
Status In Force
Filing Date 2006-04-21
First Publication Date 2011-02-08
Grant Date 2011-02-08
Owner SPANSION LLC (USA)
Inventor
  • Nickel, Alexander
  • You, Lu
  • Tokuno, Hirokazu
  • Tran, Minh
  • Ngo, Minh Van
  • Pham, Hieu
  • Wilson, Erik
  • Huertas, Robert

Abstract

During semiconductor fabrication homogeneous gap-filling is achieved by depositing a thin dielectric layer into the gap, post deposition curing, and then repeating deposition and post deposition curing until gap-filling is completed. Embodiments include depositing a layer of low deposition temperature gap-fill dielectric into a high aspect ratio opening, such as a shallow trench or a gap between closely spaced apart gate electrode structures, as at a thickness of about 10 Å to about 500 Å, curing after deposition, as by UV radiation or by heating at a temperature of about 400° C. to about 1000° C., depositing another layer of low deposition temperature gap-filled dielectric, and curing after deposition. Embodiments include separately depositing and separately curing multiple layers.

IPC Classes  ?

  • H01L 21/31 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers; Selection of materials for these layers
  • H01L 21/469 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
  • H01L 23/58 - Structural electrical arrangements for semiconductor devices not otherwise provided for

45.

Body-bias voltage controller and method of controlling body-bias voltage

      
Application Number 12835732
Grant Number 08659346
Status In Force
Filing Date 2010-07-13
First Publication Date 2011-01-20
Grant Date 2014-02-25
Owner Spansion LLC (USA)
Inventor Ogawa, Yasushige

Abstract

A body-bias voltage controller includes: a plurality of transistors at least one of which is supplied with a body-bias voltage; a monitor circuit to detect voltage characteristics of the plurality of transistors and to output a indicator signal; and a body-bias voltage generator to generate the body-bias voltage based upon the indicator signal.

IPC Classes  ?

46.

Non-volatile memory device with improved erase speed

      
Application Number 11049855
Grant Number 07863128
Status In Force
Filing Date 2005-02-04
First Publication Date 2011-01-04
Grant Date 2011-01-04
Owner SPANSION LLC (USA)
Inventor
  • Jeon, Joong
  • Orimoto, Takashi Whitney
  • Ogle, Robert B.
  • Sachar, Harpreet
  • Zheng, Wei

Abstract

A memory device may include a substrate, a first dielectric layer formed over the substrate and a charge storage element formed over the first dielectric layer. The memory device may also include a second dielectric layer formed over the charge storage element and a third dielectric layer formed over the second dielectric layer. The third dielectric layer may have a high dielectric constant and may be deposited at a relatively high temperature. A control gate may be formed over the third dielectric layer.

IPC Classes  ?

47.

Output circuit of high-frequency transmitter

      
Application Number 12822651
Grant Number 08718571
Status In Force
Filing Date 2010-06-24
First Publication Date 2010-12-30
Grant Date 2014-05-06
Owner Spansion LLC (USA)
Inventor Tomita, Kazuhiro

Abstract

A transmitting and receiving device includes: a transmission circuit that transmits a signal by FM-modulating a carrier wave of the signal; an FM demodulation circuit that generates a demodulation signal by FM-demodulating the received signal; and a first filter circuit that changes a pass-band for letting the received signal pass through according to the demodulation signal, wherein the transmitting and receiving device perform a power supply line communication through the power supply line in which a signal is transmitted and received among a plurality of the transmitting and receiving devices.

IPC Classes  ?

48.

Control circuit for DC-DC converter, DC-DC converter, and method for controlling DC-DC converter

      
Application Number 12717681
Grant Number 08587265
Status In Force
Filing Date 2010-03-04
First Publication Date 2010-09-09
Grant Date 2013-11-19
Owner Spansion LLC (USA)
Inventor
  • Nishimori, Eiji
  • Matsuo, Yoshihiko
  • Takahashi, Osamu
  • Kimura, Takeshi

Abstract

A DC-DC converter control circuit includes: a slope signal generation circuit that generates a reference voltage by superimposing a slope voltage onto a standard voltage; a comparator that performs comparison of the reference voltage with an output voltage and generates a signal according to a result of the comparison; an oscillator that generates a pulse signal with a substantially constant cycle; and a control signal generation circuit that generates a control signal that turns on a switch based on a comparator output signal and turns off the switch based on the pulse signal.

IPC Classes  ?

  • G05F 1/00 - Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or val

49.

Buried silicide local interconnect with sidewall spacers and method for making the same

      
Application Number 11136569
Grant Number 07786003
Status In Force
Filing Date 2005-05-25
First Publication Date 2010-08-31
Grant Date 2010-08-31
Owner SPANSION LLC (USA)
Inventor
  • Halliyal, Arvind
  • Krivokapic, Zoran
  • Buynoski, Matthew S.
  • Tripsas, Nicholas H.
  • Ngo, Minh Van
  • Ramsbey, Mark T.
  • Shields, Jeffery A.
  • Ogura, Jusuke

Abstract

A buried local interconnect and method of forming the same counterdopes a region of a doped substrate to form a counterdoped isolation region. A hardmask is formed and patterned on the doped substrate, with a recess being etched through the patterned hardmask into the counterdoped region. Dielectric spacers are formed on the sidewalls of the recess, with a portion of the bottom of the recess being exposed. A metal is then deposited in the recess and reacted to form silicide at the bottom of the recess. The recess is filled with fill material, which is polished. The hardmask is then removed to form a silicide buried local interconnect.

IPC Classes  ?

  • H01L 21/4763 - Deposition of non-insulating-, e.g. conductive-, resistive-, layers on insulating layers; After-treatment of these layers

50.

Signal processor and communication device

      
Application Number 12769143
Grant Number 08605756
Status In Force
Filing Date 2010-04-28
First Publication Date 2010-08-19
Grant Date 2013-12-10
Owner Spansion LLC (USA)
Inventor
  • Shimamura, Akira
  • Mita, Koichi
  • Arai, Takashi
  • Fujishima, Hideshi
  • Endo, Akira

Abstract

A signal processor includes a period detection section which detects that a period is currently used for communication of a frame; a pattern detection section which detects, from the received signal, a first signal pattern by which the end of communication of the frame is recognized; and an output processing section which outputs the received signal to a controller, configured to instruct, upon detection of the first signal pattern in the period being currently used for communication of a frame, the controller to halt startup of communication action of the next frame, until the period being currently used for communication of a frame comes to the end, to thereby reduce an event such that frames are transmitted from a plurality of communication devices simultaneously, and to thereby allow the communication action for the next frame to proceed correctly.

IPC Classes  ?

51.

Output voltage controller, electronic device, and output voltage control method

      
Application Number 12605115
Grant Number 08618781
Status In Force
Filing Date 2009-10-23
First Publication Date 2010-06-03
Grant Date 2013-12-31
Owner Spansion LLC (USA)
Inventor Miyamae, Toru

Abstract

An output voltage controller includes a first controller which controls current supply to a inductor based on an output voltage, and a second controller which controls current supply to the inductor by controlling a period when an input end to which an input voltage is inputted, the inductor, and an output end from which the output voltage is outputted are coupled based on the input voltage.

IPC Classes  ?

  • G05F 1/40 - Regulating voltage or current wherein the variable is actually regulated by the final control device is ac using discharge tubes or semiconductor devices as final control devices
  • G05F 1/56 - Regulating voltage or current wherein the variable actually regulated by the final control device is dc using semiconductor devices in series with the load as final control devices

52.

Self-aligned SI rich nitride charge trap layer isolation for charge trap flash memory

      
Application Number 12699635
Grant Number 08551858
Status In Force
Filing Date 2010-02-03
First Publication Date 2010-06-03
Grant Date 2013-10-08
Owner SPANSION LLC (USA)
Inventor
  • Fang, Shenqing
  • Hui, Angela
  • Ting, Shao-Yu
  • Kang, Inkuk
  • Xue, Gang

Abstract

A method for fabricating a memory device with U-shaped trap layers over rounded active region corners is disclosed. In the present invention, an STI process is performed before the charge-trapping layer is formed. Immediately after the STI process, the sharp corners of the active regions are exposed, making them available for rounding. Rounding the corners improves the performance characteristics of the memory device. Subsequent to the rounding process, a bottom oxide layer, nitride layer, and sacrificial top oxide layer are formed. An organic bottom antireflective coating applied to the charge trapping layer is planarized. Now the organic bottom antireflective coating, sacrificial top oxide layer, and nitride layer are etched, without etching the sacrificial top oxide layer and nitride layer over the active regions. After the etching the charge trapping layer has a cross-sectional U-shape appearance. U-shaped trap layer edges allow for increased packing density and integration while maintaining isolation between trap layers.

IPC Classes  ?

  • H01L 21/76 - Making of isolation regions between components

53.

Memory device etch methods

      
Application Number 12688477
Grant Number 07972951
Status In Force
Filing Date 2010-01-15
First Publication Date 2010-05-13
Grant Date 2011-07-05
Owner SPANSION LLC (USA)
Inventor
  • Hui, Angela T.
  • Choi, Jihwan

Abstract

3) to etch at least the control gate layer.

IPC Classes  ?

  • H01L 21/3205 - Deposition of non-insulating-, e.g. conductive- or resistive-, layers, on insulating layers; After-treatment of these layers
  • H01L 21/4763 - Deposition of non-insulating-, e.g. conductive-, resistive-, layers on insulating layers; After-treatment of these layers

54.

Thermoelectric device for use with Stirling engine

      
Application Number 12180910
Grant Number 08793992
Status In Force
Filing Date 2008-07-28
First Publication Date 2010-01-28
Grant Date 2014-08-05
Owner Spansion LLC (USA)
Inventor
  • Schamp, Crispin Thomas
  • Tran, Lee

Abstract

An exhaust gas manifold having thermoelectric devices in the exhaust manifold of a stirling engine is disclosed.

IPC Classes  ?

  • F01B 29/10 - Engines
  • F02G 1/04 - Hot gas positive-displacement engine plants of closed-cycle type
  • F01N 3/02 - Exhaust or silencing apparatus having means for purifying, rendering innocuous, or otherwise treating exhaust for cooling, or for removing solid constituents of, exhaust
  • F01N 5/02 - Exhaust or silencing apparatus combined or associated with devices profiting by exhaust energy the devices using heat
  • H01L 35/28 - SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR - Details thereof operating with Peltier or Seebeck effect only

55.

Semiconductor device having chip mounted on an interposer

      
Application Number 12196156
Grant Number 08749039
Status In Force
Filing Date 2008-08-21
First Publication Date 2009-08-20
Grant Date 2014-06-10
Owner Spansion LLC (USA)
Inventor Onodera, Masanori

Abstract

A semiconductor device 100 includes: a first semiconductor package 10; a first interposer 12 having an upper surface on which the first semiconductor package 10 is mounted; a first molding resin 14 that is provided on the upper surface of the first interposer 12 and seals the first semiconductor package 10; a second semiconductor package 20 mounted on an upper surface of the first molding resin 14; a second interposer 22 on which the second semiconductor package 20 is mounted by flip chip bonding; and a second molding resin 40 that is provided on the upper surface of the first interposer 12 and seals the first molding resin 14, the second semiconductor package 20, and the second interposer 22. The second semiconductor package 20 is mounted, with a surface thereof opposite to another surface mounted on the second interposer 22 faced down, on the upper surface of the first molding resin 14 via an adhesive 30.

IPC Classes  ?

  • H01L 23/02 - Containers; Seals
  • H01L 23/48 - Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads or terminal arrangements
  • H01L 23/52 - Arrangements for conducting electric current within the device in operation from one component to another
  • H01L 29/40 - Electrodes

56.

Method for protecting data against differntial fault analysis involved in rivest, shamir, and adleman cryptography using the chinese remainder theorem

      
Application Number 11969106
Grant Number 08774400
Status In Force
Filing Date 2008-01-03
First Publication Date 2009-07-09
Grant Date 2014-07-08
Owner Spansion LLC (USA)
Inventor
  • Boscher, Arnaud
  • Trichina, Elena Vasilievna
  • Handschuh, Helena

Abstract

Systems and methods for effectively protecting data against differential fault analysis involved in Rivest, Shamir, and Adleman (“RSA”) cryptography using the Chinese Remainder Theorem (“CRT”) are described herein. A CRT RSA component facilitates modular exponentiation of a received message, and a verification component reconstructs the received message. An exponentiation component performs a first modular exponentiation and a second modular exponentiation of the received message. A recombination component performs a recombination step utilizing CRT computation as a function of the first and second modular exponentiations. A modular exponentiation component performs first and second public exponent derivations as a function of a private exponent. The verification component can reconstructs the received message as a function of the first and second public exponent derivations. The verification component calculates the received message utilizing Chinese Remainder Theorem computation.

IPC Classes  ?

57.

Frequency distributed flash memory allocation based on free page tables

      
Application Number 11962514
Grant Number 08656083
Status In Force
Filing Date 2007-12-21
First Publication Date 2009-06-25
Grant Date 2014-02-18
Owner Spansion LLC (USA)
Inventor Kern, William

Abstract

Systems and/or methods that provide for frequency distributed flash memory allocation are disclosed. The systems and methods determine the rate at which a system address is being written and the current erase cycle state of each data block in the non-volatile memory device and assigns a physical address to the write operation based on the determined system address rate and the current erase state of each data block in the non-volatile system. In this regard, system addresses that are assigned more frequently are assigned physical page addresses from data blocks which have a low erase cycle state (i.e., greater cycle endurance remaining) and system addresses that assigned less frequently are assigned physical page addresses from data blocks which have a high erase cycle state (i.e., lesser cycle endurance remaining). The result is a more robust non-volatile device having increased erase/initialization cycle endurance, which adds to the overall reliability of the device over time.

IPC Classes  ?

  • G06F 12/00 - Accessing, addressing or allocating within memory systems or architectures

58.

System and method for read data buffering wherein an arbitration policy determines whether internal or external buffers are given preference

      
Application Number 12276116
Grant Number 08601181
Status In Force
Filing Date 2008-11-21
First Publication Date 2009-05-28
Grant Date 2013-12-03
Owner Spansion LLC (USA)
Inventor
  • Miura, Seiji
  • Isaac, Roger Dwain

Abstract

Methods for controlling read data buffering are disclosed. In one of the methods core operations are performed in response to a receipt of a read command from a master controller and an internal or external communication buffer of a data storage node is selected to forward information to the master controller. The data storage node is selected based upon constraints and contents of one or more communication buffers. Information is forwarded from the selected internal or external communication buffer to the master controller.

IPC Classes  ?

  • G06F 13/00 - Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
  • G06F 3/00 - Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements

59.

System and method for read data buffering wherein analyzing policy determines whether to decrement or increment the count of internal or external buffers

      
Application Number 12276143
Grant Number 08874810
Status In Force
Filing Date 2008-11-21
First Publication Date 2009-05-28
Grant Date 2014-10-28
Owner Spansion LLC (USA)
Inventor
  • Isaac, Roger Dwain
  • Miura, Seiji

Abstract

Efficient and convenient storage systems and methods are presented. In one embodiment a storage system includes a plurality of storage nodes and a master controller. The storage nodes store information. The storage node includes an upstream communication buffer which is locally controlled at the storage node to facilitate resolution of conflicts in upstream communications. The master controller controlls the flow of traffic to the node based upon constraints of the upstream communication buffer. In one embodiment, communication between the master controller and the node has a determined maximum latency. The storage node can be coupled to the master controller in accordance with a chain memory configuration.

IPC Classes  ?

  • G06F 13/16 - Handling requests for interconnection or transfer for access to memory bus

60.

System and method for accessing memory

      
Application Number 12276010
Grant Number 08732360
Status In Force
Filing Date 2008-11-21
First Publication Date 2009-05-28
Grant Date 2014-05-20
Owner Spansion LLC (USA)
Inventor
  • Isaac, Roger Dwain
  • Miura, Seiji

Abstract

A storage system and method for storing information in memory nodes. The storage or memory nodes include a communication buffer. Flow of information to the storage nodes is controlled based upon constraints on the communication buffer. In one embodiment, communications between a master controller and a storage node have a determined maximum latency.

IPC Classes  ?

  • G06F 13/16 - Handling requests for interconnection or transfer for access to memory bus

61.

Method for setting parameters and determining latency in a chained device system

      
Application Number 12276061
Grant Number 08930593
Status In Force
Filing Date 2008-11-21
First Publication Date 2009-05-28
Grant Date 2015-01-06
Owner Spansion LLC (USA)
Inventor
  • Miura, Seiji
  • Isaac, Roger Dwain

Abstract

A storage system and method for setting parameters and determining latency in a chained device system. Storage nodes store information and the storage nodes are organized in a daisy chained network. At least one of one of the storage nodes includes an upstream communication buffer. Flow of information to the storage nodes is based upon constraints of the communication buffer within the storage nodes. In one embodiment, communication between the master controller and the plurality storage nodes has a determined maximum latency.

IPC Classes  ?

  • G06F 13/18 - Handling requests for interconnection or transfer for access to memory bus with priority control
  • G06F 13/16 - Handling requests for interconnection or transfer for access to memory bus

62.

Cryptographic system with modular randomization of exponentiation

      
Application Number 11852644
Grant Number 08670557
Status In Force
Filing Date 2007-09-10
First Publication Date 2009-03-12
Grant Date 2014-03-11
Owner Spansion LLC (USA)
Inventor
  • Trichina, Elena
  • Handschuh, Helena
  • Boscher, Arnaud

Abstract

Systems and/or methods that facilitate secure electronic communication of data are presented. A cryptographic component facilitates securing data associated with messages in accordance with a cryptographic protocol. The cryptographic component includes a randomized exponentiation component that facilitates decryption of data and generation of digital signatures by exponentiating exponents associated with messages. An exponent is divided into more than one subexponent at an exponent bit that corresponds to a random number. Exponentiation of the first subexponent can be performed based on a left-to-right-type of exponentiation algorithm, and exponentiation of the second subexponent can be performed based on a right-to-left square-and-multiply-type of exponentiation algorithm. The final value is based on the exponentiations of the subexponents and can be decrypted data or a digital signature, which can be provided as an output.

IPC Classes  ?

63.

Method of forming controllably conductive oxide

      
Application Number 11899597
Grant Number 08946020
Status In Force
Filing Date 2007-09-06
First Publication Date 2009-03-12
Grant Date 2015-02-03
Owner Spansion, LLC (USA)
Inventor
  • Buynoski, Matthew
  • Choi, Seungmoo
  • Gopalan, Chakravarthy
  • Liao, Dongxiang
  • Marrian, Christie

Abstract

In fabricating a memory device, a first electrode is provided. An alloy is formed thereon, and the alloy is oxidized to provide an oxide layer. A second electrode is provided on the oxide layer. In a further method of fabricating a memory device, a first electrode is provided. Oxide is provided on the first electrode, and an implantation step in undertaken to implant material in the oxide to form a layer including oxide and implanted material having an oxygen deficiency and/or defects therein. A second electrode is then formed on the layer.

IPC Classes  ?

  • H01L 21/8242 - Dynamic random access memory structures (DRAM)
  • H01L 21/316 - Inorganic layers composed of oxides or glassy oxides or oxide-based glass
  • H01L 45/00 - Solid state devices specially adapted for rectifying, amplifying, oscillating, or switching without a potential-jump barrier or surface barrier, e.g. dielectric triodes; Ovshinsky-effect devices; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof

64.

Contact configuration for undertaking tests on circuit board

      
Application Number 11881969
Grant Number 08708710
Status In Force
Filing Date 2007-07-30
First Publication Date 2009-02-05
Grant Date 2014-04-29
Owner Spansion LLC (USA)
Inventor
  • Law, Che Seong
  • Edumban, Kaneasan

Abstract

An electronic structure (for example a reliability board or a cycling control module) has a body including a body portion insertable into a connector. A plurality of contact structures are provided on a side of the body portion, each contact structure comprising a first contact and a second contact spaced from the first contact, with the first and second contacts of each contact structure being aligned in the direction of insertion of the body portion into the connector. A corresponding second plurality of contact structures is provided on a side of the body portion opposite the first-mentioned side. These contacts connect with respective corresponding contacts of the connector.

IPC Classes  ?

  • H01R 12/00 - Structural associations of a plurality of mutually-insulated electrical connecting elements, specially adapted for printed circuits, e.g. printed circuit boards [PCB], flat or ribbon cables, or like generally planar structures, e.g. terminal strips, ; Coupling devices specially adapted for printed circuits, flat or ribbon cables, or like generally planar structures; Terminals specially adapted for contact with, or insertion into, printed circuits, flat or ribbon cables, or like generally planar structures

65.

Aggressive cleaning process for semiconductor device contact formation

      
Application Number 11128391
Grant Number 07476604
Status In Force
Filing Date 2005-05-13
First Publication Date 2009-01-13
Grant Date 2009-01-13
Owner SPANSION LLC (USA)
Inventor
  • Cheng, Ning
  • Ngo, Minh Van
  • Yin, Jinsong
  • Besser, Paul Raymond
  • Wang, Connie Pin-Chin
  • Callahan, Russell Rosaire Austin
  • Shields, Jeffrey
  • Sinha, Shankar
  • Erhardt, Jeff P.
  • Chou, Jeremy Chi-Hung

Abstract

A method of forming a contact through a material includes forming a via through a dielectric material and cleaning the via using a dilute hydrofluoric (DHF) acid solution. The method further includes depositing a barrier layer within the via and depositing metal adjacent the barrier layer.

IPC Classes  ?

  • H01L 21/44 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups

66.

Memory device with improved performance

      
Application Number 11796073
Grant Number 08373148
Status In Force
Filing Date 2007-04-26
First Publication Date 2008-10-30
Grant Date 2013-02-12
Owner Spansion LLC (USA)
Inventor
  • Lan, Zhida
  • Rathor, Manuj
  • Bernard, Joffre F.

Abstract

The present resistive memory device includes first and second electrodes. An active layer is situated between the first and second electrodes. The active layer with advantage has a thermal conductivity of 0.02 W/Kcm or less, and is surrounded by a body in contact with the layer, the body having a thermal conductivity of 0.01 W/Kcm or less.

IPC Classes  ?

  • H01L 45/00 - Solid state devices specially adapted for rectifying, amplifying, oscillating, or switching without a potential-jump barrier or surface barrier, e.g. dielectric triodes; Ovshinsky-effect devices; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof

67.

Dielectric extension to mitigate short channel effects

      
Application Number 11724725
Grant Number 09318333
Status In Force
Filing Date 2007-03-16
First Publication Date 2008-07-03
Grant Date 2016-04-19
Owner SPANSION LLC (USA)
Inventor
  • Gopal, Vidyut
  • Sinha, Shankar
  • Yang, Jean Yee-Mei
  • Jones, Phillip L.

Abstract

In patterning a transistor, some of a layer of gate dielectric material is allowed to remain over a semiconductor substrate upon which the transistor is formed. This remaining dielectric material retards the implantation of dopants into the underlying substrate, effectively lengthening a channel region of the transistor. This mitigates unwanted short channel effects, such as leakage currents, for example, and thus mitigates yield loss by establishing a transistor that performs in a more predictable or otherwise desirable manner.

IPC Classes  ?

  • H01L 21/336 - Field-effect transistors with an insulated gate
  • H01L 21/28 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups
  • H01L 21/311 - Etching the insulating layers
  • H01L 27/115 - Electrically programmable read-only memories; Multistep manufacturing processes therefor
  • H01L 29/66 - Types of semiconductor device

68.

Semiconductor device and method of manufacturing the same

      
Application Number 11986370
Grant Number 08637997
Status In Force
Filing Date 2007-11-20
First Publication Date 2008-07-03
Grant Date 2014-01-28
Owner Spansion LLC (USA)
Inventor Onodera, Masanori

Abstract

The present invention provides a semiconductor device with an improved yield ratio and reduced height and manufacturing cost; and a method of manufacturing the semiconductor device. According to an aspect of the present invention, there is provided a semiconductor device including a substrate, a semiconductor element that is flip-chip connected to the substrate, and a molding portion that seals the semiconductor element. The side surfaces of the semiconductor element are enclosed by the molding portion. An upper surface of the semiconductor element is not enclosed by the molding portion. Damage to the side surfaces of the semiconductor element caused by an external impact when the semiconductor device is stored is minimized, because the molding portion protects the side surfaces of the semiconductor element. Accordingly, the yield ratio of the semiconductor device is improved. The height of the semiconductor device can also be reduced since the upper surface of the semiconductor element is not enclosed with the molding portion.

IPC Classes  ?

  • H01L 23/52 - Arrangements for conducting electric current within the device in operation from one component to another
  • H01L 21/48 - Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups

69.

Method and apparatus for protection against process-induced charging

      
Application Number 11614053
Grant Number 08445966
Status In Force
Filing Date 2006-12-20
First Publication Date 2008-06-26
Grant Date 2013-05-21
Owner SPANSION LLC (USA)
Inventor
  • Rogers, David M.
  • Qian, Mimi X.
  • Appiah, Kwadwo A.
  • Randolph, Mark
  • Vanbuskirk, Michael A.
  • Kamal, Tazrien
  • Kinoshita, Hiroyuki
  • He, Yi
  • Zheng, Wei

Abstract

A semiconductor device (400) for improved charge dissipation protection includes a substrate (426), a layer of semiconductive or conductive material (406), one or more thin film devices (408) and a charge passage device (414). The thin film devices (408) are connected to the semiconductive or conductive layer (406) and the charge passage device (414) is coupled to the thin film devices (408) and to the substrate (426) and provides a connection from the thin film devices (408) to the substrate (426) to dissipate charge from the semiconductive/conductive layer (406) to the substrate (426).

IPC Classes  ?

  • H01L 23/62 - Protection against overcurrent or overload, e.g. fuses, shunts

70.

Zero interface polysilicon to polysilicon gate for flash memory

      
Application Number 11614801
Grant Number 07863175
Status In Force
Filing Date 2006-12-21
First Publication Date 2008-06-26
Grant Date 2011-01-04
Owner SPANSION LLC (USA)
Inventor
  • Ogle, Robert Bertram
  • Jeon, Joong
  • Paton, Eric
  • Frenkel, Austin

Abstract

A system and method are disclosed for processing a zero angstrom oxide interface dual poly gate structure for a flash memory device. An exemplary method can include removing an oxide on a surface of a first poly layer and forming a second poly layer on the first poly layer in a same processing chamber. A transfer of the structure is not needed from an oxide removal tool to, for example, a poly layer formation tool, an implant tool, and the like. As a result, impurities containing a silicon oxide caused by exposure of the first poly layer to an oxygen-containing atmosphere do not form at the interface of the first and second poly layers.

IPC Classes  ?

  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)
  • H01L 29/788 - Field-effect transistors with field effect produced by an insulated gate with floating gate

71.

Memory device etch methods

      
Application Number 11616085
Grant Number 07670959
Status In Force
Filing Date 2006-12-26
First Publication Date 2008-06-26
Grant Date 2010-03-02
Owner SPANSION LLC (USA)
Inventor
  • Hui, Angela T.
  • Choi, Jihwan

Abstract

3) to etch at least the control gate layer.

IPC Classes  ?

  • H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting
  • H01L 21/461 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

72.

Damascene metal-insulator-metal (MIM) device

      
Application Number 11633929
Grant Number 08089113
Status In Force
Filing Date 2006-12-05
First Publication Date 2008-06-05
Grant Date 2012-01-03
Owner SPANSION LLC (USA)
Inventor
  • Pangrle, Suzette K.
  • Avanzino, Steven
  • Haddad, Sameer
  • Vanbuskirk, Michael
  • Rathor, Manuj
  • Xie, James
  • Song, Kevin
  • Marrian, Christie
  • Choo, Bryan
  • Wang, Fei
  • Shields, Jeffrey A.

Abstract

The present method of fabricating a memory device includes the steps of providing a dielectric layer, providing an opening in the dielectric layer, providing a first conductive body in the opening in the dielectric layer, providing a switching body in the opening, and providing a second conductive body in the opening.

IPC Classes  ?

  • H01L 29/94 - Metal-insulator-semiconductors, e.g. MOS
  • H01L 27/108 - Dynamic random access memory structures

73.

Method for manufacturing a memory device having a nanocrystal charge storage region

      
Application Number 11116551
Grant Number 07378310
Status In Force
Filing Date 2005-04-27
First Publication Date 2008-05-27
Grant Date 2008-05-27
Owner SPANSION LLC (USA)
Inventor
  • Wang, Connie Pin-Chin
  • Krivokapic, Zoran
  • Pangrle, Suzette Keefe
  • Chiu, Robert
  • You, Lu

Abstract

A method for manufacturing a memory device having a metal nanocrystal charge storage structure. A substrate is provided and a first layer of dielectric material is grown on the substrate. A layer of metal oxide having a first heat of formation is formed on the first layer of dielectric material. A metal layer having a second heat of formation is formed on the metal oxide layer. The second heat of formation is greater than the first heat of formation. The metal oxide layer and the metal layer are annealed which causes the metal layer to reduce the metal oxide layer to metallic form, which then agglomerates to form metal islands. The metal layer becomes oxidized thereby embedding the metal islands within an oxide layer to form a nanocrystal layer. A control oxide is formed over the nanocrystal layer and a gate electrode is formed on the control oxide.

IPC Classes  ?

  • H01L 21/8242 - Dynamic random access memory structures (DRAM)

74.

Memory cell having enhanced high-K dielectric

      
Application Number 11008233
Grant Number 07365389
Status In Force
Filing Date 2004-12-10
First Publication Date 2008-04-29
Grant Date 2008-04-29
Owner SPANSION LLC (USA)
Inventor
  • Jeon, Joong
  • Zheng, Wei
  • Randolph, Mark
  • Ding, Meng
  • Shiraiwa, Hidehiko

Abstract

A semiconductor memory device may include an intergate dielectric layer of a high-K, high barrier height dielectric material interposed between a charge storage layer and a control gate. With this intergate high-K, high barrier height dielectric in place, the memory device may be efficiently erased using Fowler-Nordheim tunneling.

IPC Classes  ?

  • H01L 29/792 - Field-effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistor

75.

Virtual memory card controller

      
Application Number 11549551
Grant Number 07558907
Status In Force
Filing Date 2006-10-13
First Publication Date 2008-04-17
Grant Date 2009-07-07
Owner Spansion LLC (USA)
Inventor
  • Stern, Julien
  • Carvounas, Christophe
  • Colnot, Cedric
  • Prawitz, Nicolas
  • Grall, Jean-Yves
  • Pornin, Thomas

Abstract

The claimed subject matter can provide an architecture that can transparently provide more robust interactions between a host device and a smartcard or other mass media storage device by way of block level read or write operations provided as part of a standard interface protocol. A virtual controller can be installed on the smartcard to manage access to the data store of a smartcard. The virtual controller can provide special objects (e.g., files, directories, partitions . . . ) to the host, and upon an access to one of these special files, call an application to manage pre- or post-processing of the data transferred between the host and the smartcard.

IPC Classes  ?

  • G06F 12/00 - Accessing, addressing or allocating within memory systems or architectures
  • G06F 3/06 - Digital input from, or digital output to, record carriers

76.

Method for forming memory array bitlines comprising epitaxially grown silicon and related structure

      
Application Number 11112607
Grant Number 07354826
Status In Force
Filing Date 2005-04-22
First Publication Date 2008-04-08
Grant Date 2008-04-08
Owner SPANSION LLC (USA)
Inventor
  • Orimoto, Takashi
  • Ogle, Robert B.
  • Sugino, Rinji

Abstract

According to one exemplary embodiment, a method of fabricating a bitline in a memory array includes forming a trench in a substrate, where the trench has sidewalls and a bottom surface. The method further includes performing a selective epitaxial process to partially fill the trench with selective epitaxially grown silicon, where the selective epitaxially grown silicon is situated on the sidewalls and bottom surface of the trench. The selective epitaxially grown silicon is doped in the selective epitaxial process. The method further includes performing a silicon reflow process to cause the selective epitaxially silicon to be redistributed in the trench. The method further includes performing a number of selective epitaxial process/silicon reflow process cycles to substantially fill the trench with the selective epitaxially grown silicon. The method further includes extending a top surface of the selective epitaxially grown silicon in the trench above an ONO stack to form the bitline.

IPC Classes  ?

  • H01L 21/20 - Deposition of semiconductor materials on a substrate, e.g. epitaxial growth
  • H01L 21/36 - Deposition of semiconductor materials on a substrate, e.g. epitaxial growth

77.

Multiple communication channels on MMC or SD CMD line

      
Application Number 11469755
Grant Number 08156272
Status In Force
Filing Date 2006-09-01
First Publication Date 2008-03-06
Grant Date 2012-04-10
Owner Spansion LLC (USA)
Inventor
  • Charrat, Bruno
  • Grall, Jean-Yves
  • Prawitz, Nicolas
  • Kornitz, Roni

Abstract

The claimed subject matter can provide an architecture that interfaces a single slave device such as a UICC smartcard with multiple host controllers. For example, a secondary host can be interfaced between a primary host (e.g. a controller in a cellular phone, a PDA, an MP3 player . . . ) to manage all transactions with the slave device. The secondary host can operate transparently to the primary host and thus does not require any modifications to the primary host. This can be accomplished, e.g. by employing the CMD channel (which is relatively sparsely used by the primary host) to communicate both commands and data with the slave. Moreover, the transactions initiated by the secondary host can be segmented into many smaller fragments and interleaved between transactions initiated by the primary host. In addition, the secondary host can temporarily take on the role of the slave device and affect direct communication with the primary host.

IPC Classes  ?

  • G06F 13/00 - Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units

78.

Method for manufacturing a memory device having a nanocrystal charge storage region

      
Application Number 11116538
Grant Number 07335594
Status In Force
Filing Date 2005-04-27
First Publication Date 2008-02-26
Grant Date 2008-02-26
Owner SPANSION LLC (USA)
Inventor
  • Wang, Connie Pin-Chin
  • Krivokapic, Zoran
  • Pangrle, Suzette Keefe
  • Yin, Jinsong

Abstract

A method for manufacturing a memory device having a metal nanocrystal charge storage structure. A substrate is provided and a first layer of dielectric material is grown on the substrate. An absorption layer is formed on the first layer of dielectric material. The absorption layer includes a plurality of titanium atoms bonded to the first layer of dielectric material, a nitrogen atom bonded to each titanium atom, and at least one ligand bonded to the nitrogen atom. The at least one ligand is removed from the nitrogen atoms to form nucleation centers. A metal such as tungsten is bonded to the nucleation centers to form metallic islands. A dielectric material is formed on the nucleation centers and annealed to form a nanocrystal layer. A control oxide is formed over the nanocrystal layer and a gate electrode is formed on the control oxide.

IPC Classes  ?

  • H01L 21/44 - Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups

79.

Integrated circuit memory system employing silicon rich layers

      
Application Number 11461131
Grant Number 07675104
Status In Force
Filing Date 2006-07-31
First Publication Date 2008-01-31
Grant Date 2010-03-09
Owner SPANSION LLC (USA)
Inventor
  • Joshi, Amol Ramesh
  • Sachar, Harpreet
  • Suh, Youseok
  • Fang, Shenqing
  • Yang, Chih-Yuh
  • Singh, Lovejeet
  • Matsumoto, David H.
  • Shiraiwa, Hidehiko
  • Chang, Kuo-Tung
  • Bell, Scott A.
  • Holbrook, Allison
  • Torii, Satoshi

Abstract

An integrated circuit memory system that includes: providing a substrate; forming a silicon rich charge storage layer over the substrate; forming a first isolation trench through the silicon rich charge storage layer in a first direction; and forming a second isolation trench through the silicon rich charge storage layer in a second direction.

IPC Classes  ?

  • H01L 21/8247 - Read-only memory structures (ROM) electrically-programmable (EPROM)

80.

Memory cell and method of making the memory cell

      
Application Number 11063138
Grant Number 07306988
Status In Force
Filing Date 2005-02-22
First Publication Date 2007-12-11
Grant Date 2007-12-11
Owner SPANSION LLC (USA)
Inventor
  • Avanzino, Steven C.
  • Yu, Wen

Abstract

Methods of making memory devices/cells are disclosed. A memory cell contains first and second electrode layers and a controllably conductive media therebetween. The controllably conductive media contains a copper sulfide-containing passive layer and active layer containing a Cu-doped tantalum oxide and/or titanium oxide layer. Methods of using the memory devices/cells, and devices such as computers containing the memory devices/cells are also disclosed.

IPC Classes  ?

  • H01L 21/8242 - Dynamic random access memory structures (DRAM)

81.

Method for controlling poly 1 thickness and uniformity in a memory array fabrication process

      
Application Number 11035188
Grant Number 07294573
Status In Force
Filing Date 2005-01-13
First Publication Date 2007-11-13
Grant Date 2007-11-13
Owner SPANSION LLC (USA)
Inventor
  • Achuthan, Krishnashree
  • Kim, Unsoon
  • Sahota, Kashmir
  • Regalado, Patriz C.

Abstract

According to one exemplary embodiment, a method includes planarizing a layer of polysilicon situated over field oxide regions on a substrate to form polysilicon segments, where the polysilicon segments have top surfaces that are substantially planar with top surfaces of the field oxide regions, and where the field oxide regions have a first height and the polysilicon segments have a first thickness. The method further includes removing a hard mask over a peripheral region of the substrate. According to this exemplary embodiment, the method further includes etching the polysilicon segments to cause the polysilicon segments to have a second thickness, which causes the top surfaces of the polysilicon segments to be situated below the top surfaces of the field oxide regions. The polysilicon segments can be etched by using a wet etch process. The polysilicon segments are situated in a core region of the substrate.

IPC Classes  ?

  • H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting
  • H01L 21/461 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

82.

Semiconductor memory device

      
Application Number 11656438
Grant Number 07482226
Status In Force
Filing Date 2007-01-23
First Publication Date 2007-05-24
Grant Date 2009-01-27
Owner SPANSION LLC (USA)
Inventor
  • Komori, Hideki
  • Shimada, Hisayuki
  • Sun, Yu
  • Kinoshita, Hiroyuki

Abstract

b) to reduce the contact resistance at the drain (7).

IPC Classes  ?

  • H01L 21/336 - Field-effect transistors with an insulated gate

83.

Triple layer anti-reflective hard mask

      
Application Number 11256184
Grant Number 07888269
Status In Force
Filing Date 2005-10-24
First Publication Date 2007-04-26
Grant Date 2011-02-15
Owner SPANSION LLC (USA)
Inventor
  • Ghandehari, Kouros
  • Tokuno, Hirokazu
  • Matsumoto, David
  • Raeder, Christopher H.
  • Foster, Christopher
  • Qian, Weidong
  • Ngo, Minh Van

Abstract

4 as a mask when etching a pattern in the layer of semiconducting material.

IPC Classes  ?

  • H01L 21/302 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change the physical characteristics of their surfaces, or to change their shape, e.g. etching, polishing, cutting
  • H01L 21/461 - Treatment of semiconductor bodies using processes or apparatus not provided for in groups to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

84.

Bit line implant

      
Application Number 11254769
Grant Number 07432178
Status In Force
Filing Date 2005-10-21
First Publication Date 2007-04-26
Grant Date 2008-10-07
Owner SPANSION LLC (USA)
Inventor
  • Hui, Angela T.
  • Yang, Jean
  • Sun, Yu
  • Ramsbey, Mark T.
  • Qian, Weidong

Abstract

A method for performing a bit line implant is disclosed. The method includes forming a group of structures on an oxide-nitride-oxide stack of a semiconductor device. Each structure of the group of structures includes a polysilicon portion and a hard mask portion. A first structure of the group of structures is separated from a second structure of the group of structures by less than 100 nanometers. The method further includes using the first structure and the second structure to isolate a portion of the semiconductor device for the bit line implant.

IPC Classes  ?

  • H01L 21/04 - Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer, carrier concentration layer

85.

Multi-chip module having a support structure and method of manufacture

      
Application Number 11125396
Grant Number 08586413
Status In Force
Filing Date 2005-05-04
First Publication Date 2006-11-09
Grant Date 2013-11-19
Owner Spansion LLC (USA)
Inventor
  • Foong, Yin Lye
  • Kee, Cheng Sim
  • Lee, Lay Hong
  • Abu-Hassan, Mohamed Suhaizal Bin

Abstract

A multi-chip module and a method for manufacturing the multi-chip module that mitigates wire breakage. A first semiconductor chip is mounted and wirebonded to a support substrate. A spacer is coupled to the first semiconductor chip. A support material is disposed on the spacer and a second semiconductor chip is positioned on the support material. The second semiconductor chip is pressed into the support material squeezing it into a region adjacent the spacer and between the first and second semiconductor chips. Alternatively, the support material is disposed on the first semiconductor chip and a die attach material is disposed on the spacer. The second semiconductor chip is pressed into the die attach material and the support material, squeezing a portion of the support material over the spacer edges. Wirebonds are formed between the support substrate and the first and second semiconductor chips.

IPC Classes  ?

  • H01L 21/00 - Processes or apparatus specially adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

86.

Automatic resource assignment in stacked module devices

      
Application Number 11158509
Grant Number 07286384
Status In Force
Filing Date 2005-06-22
First Publication Date 2006-09-14
Grant Date 2007-10-23
Owner
  • Advanced Micro Devices, Inc. (USA)
  • Spansion LLC (USA)
Inventor
  • Wendt, Michael
  • Schneider, Frank
  • Edelhaeuser, Frank
  • Prengel, Helmut

Abstract

A stacked module device and corresponding module and method are provided where at least some modules have input ports connected to receive first resource related signals and output ports connected to provide second resource related signals. The first and second signals are different, and each module comprises a resource signal transformation unit for generating the second signal from the first signals. The resource signal transformation units of each module are of the same construction. Resources may be addresses. Further, a software configurable address assignment is provided.

IPC Classes  ?

  • G11C 5/06 - Arrangements for interconnecting storage elements electrically, e.g. by wiring

87.

Read approach for multi-level virtual ground memory

      
Application Number 10946809
Grant Number 07038948
Status In Force
Filing Date 2004-09-22
First Publication Date 2006-03-23
Grant Date 2006-05-02
Owner Spansion LLC (USA)
Inventor
  • Hamilton, Darlene
  • Bathul, Fatima
  • Horiike, Masato
  • Gershon, Eugen
  • Buskirk, Michael Van

Abstract

The present invention pertains to a technique for determining the level of a bit in a dual sided ONO flash memory cell where each of the bits of the dual sided ONO flash memory cell can be programmed to multiple levels. One or more aspects of the present invention take into consideration the affect that the level of charge on one bit can have on the other bit, otherwise known as complimentary bit disturb. A metric known as transconductance is utilized in making the bit level determination to provide a greater degree of resolution and accuracy. In this manner, determining the bit level in accordance with one or more aspects of the present invention mitigates false or erroneous reads.

IPC Classes  ?

  • G11C 16/04 - Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS

88.

Erase algorithm for multi-level bit flash memory

      
Application Number 10864947
Grant Number 07251158
Status In Force
Filing Date 2004-06-10
First Publication Date 2005-12-15
Grant Date 2007-07-31
Owner Spansion LLC (USA)
Inventor
  • Hsia, Ed
  • Hamilton, Darlene
  • Bathul, Fatima
  • Horiike, Masato

Abstract

Methods of erasing a sector of multi-level flash memory cells (MLB) having three or more data states to a single data state are provided. The present invention employs an interactive sector erase algorithm that repeatedly erases, verifies, soft programs, and programs the sector in two or more erase phases to achieve highly compact data state distributions. In one example, the algorithm essentially erases all the MLB cells of the sector to an intermediate state and corresponding threshold voltage value using interactive erasing, soft programming and programming pulses in a first phase. Then in a second phase, the algorithm further erases all the MLB cells of the sector using additional interactive erasing and soft programming pulses until a final data state is achieved corresponding to a desired final threshold voltage value of the cells. Optionally, the algorithm may include one or more additional phases of similar operations that successively bring the memory cells of the sector to a compacted common erased state in preparation for subsequent programming operations. In one aspect of the method, the actual threshold values and/or data states chosen for these phases may be predetermined and input to the memory device by the user.

IPC Classes  ?

  • G11C 11/34 - Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices

89.

Structure and method for protecting memory cells from UV radiation damage and UV radiation-induced charging during backend processing

      
Application Number 10841933
Grant Number 06974989
Status In Force
Filing Date 2004-05-06
First Publication Date 2005-12-13
Grant Date 2005-12-13
Owner Spansion LLC (USA)
Inventor
  • Chen, Cinti X.
  • Ang, Boon-Yong
  • Wada, Hajime
  • Haddad, Sameer S.
  • Kang, Inkuk

Abstract

According to one exemplary embodiment, a structure comprises a substrate. The structure further comprises at least one memory cell situated on the substrate. The structure further comprises a first interlayer dielectric layer situated over the at least one memory cell and over the substrate. The structure further comprises an oxide cap layer situated on the first interlayer dielectric layer. According to this exemplary embodiment, the structure further comprises an etch stop layer comprising TCS nitride situated on the oxide cap layer, where the etch stop layer blocks UV radiation. The structure further comprises a second interlayer dielectric layer situated on the etch stop layer. The structure may further comprise a trench situated in the second interlayer dielectric layer and the etch stop layer, where the trench is filled with copper. The structure may further comprise an anti-reflective coating layer situated on the second interlayer dielectric layer.

IPC Classes  ?

  • H01L 27/108 - Dynamic random access memory structures